8-900-374-94-44
[email protected]
Slide Image
Меню

Deo nano adc: ADC on DEO NANO not working

P0082 — Терасик | Плата разработки Cyclone IV

Плата DE0-Nano представляет собой компактную платформу разработки FPGA, подходящую для создания прототипов схем, таких как роботы и «портативные» проекты. Плата предназначена для использования в простейшей возможной реализации, предназначенной для устройства Cyclone IV до 22 320 LE.

Плата DE0-Nano имеет набор интерфейсов, включая два внешних разъема GPIO для расширения конструкции за пределы платы DE0-Nano, встроенные устройства памяти, включая SDRAM и EEPROM для хранения больших объемов данных и буферизации кадров, а также общие пользовательские периферийные устройства с Светодиоды и кнопки.

К преимуществам платы DE0-Nano относятся ее размер и вес, а также возможность перенастройки без переноса лишнего оборудования, что выгодно отличает ее от других плат для разработки общего назначения. Кроме того, для мобильных устройств, где портативное питание имеет решающее значение, DE0-Nano предоставляет разработчикам три варианта схемы питания, включая порт USB mini-AB, 2-контактный разъем для внешнего питания и два контакта постоянного тока 5 В.

Циклон IV EP4CE22F17C6N ПЛИС

  • 22 320 логических элементов (LE)

  • 594 Встроенная память (Кбит)

  • 66 Встроенные множители 18 x 18

  • 4 PLL общего назначения

  • 153 Максимальное количество контактов ввода/вывода FPGA

Состояние конфигурации и элементы настройки

  • Бортовая схема USB-Blaster для программирования

  • Устройство последовательной настройки ПЛИС (EPCS)

Коллектор расширения

  • Два 40-контактных разъема (GPIO) обеспечивают 72 контакта ввода/вывода 3,3 В

  • Два контакта питания 5 В, два контакта питания 3,3 В и четыре контакта заземления

  • Один 26-контактный разъем обеспечивает 16 цифровых входов/выходов 3,3 В и 8 аналоговых входных контактов для подключения к аналоговым датчикам и т.

    д.

Устройства памяти

  • 32 МБ SDRAM

  • 2Kb I2C EEPROM

Общий пользовательский ввод/вывод

G-сенсор

  • ADI ADXL345, 3-осевой акселерометр с высоким разрешением (13 бит)

АЦП

  • NS ADC128S022, 8-канальный 12-разрядный аналого-цифровой преобразователь

  • от 50 до 200 кбит/с

Система часов

Блок питания

  • Порт USB типа mini-AB (5 В)

  • Два контакта 5 В постоянного тока разъемов GPIO (5 В)

  • 2-контактный разъем внешнего питания (3,6–5,7 В)

Соединение с 5-мегапиксельным датчиком CMOS (D5M)

Соединение с модулем сенсорного ЖК-экрана (LTM)

DE0-Nano Development and Education Board (Layout)

900 Комплекты 0

  • Плата Altera DE0-Nano
  • Кабель USB Mini-B
  • DE0-Nano Краткое руководство

Ресурсы

  • Руководство пользователя DE0-Nano
  • Системный компакт-диск DE0-Nano можно загрузить с: http://de0-nano. terasic.com/cd

Программное обеспечение Altera

  • Программное обеспечение Quartus II 13.0

  • Quartus II 13.0 SP1 веб-версия

  • Quartus II 13.0SP1 Подписка

Демонстрация робота-паука с DE0-Nano и телефоном Android

DE0-Nano: портативное решение FPGA

 

DE0-Nano: демонстрация дышащих светодиодов

DE0-Nano: демонстрация чтения АЦП

 

DE0 -Nano: SOPC Demo

 

DE0-Nano: G-Sensor Demo

 

 

Все оригинальные продукты поставляются Evelta. Мы предлагаем 14-дневную гарантию замены в случае производственного брака. Для получения более подробной информации, пожалуйста, посетите нашу страницу отмены и возврата.

Создание проекта с помощью макетной платы Terasic DE0-Nano FPGA

DE0-Nano является одной из самых популярных макетных плат благодаря своей низкой цене. (менее 100 долларов США) и Altera Cyclone IV FPGA, недорогое устройство с низким энергопотреблением. что обеспечивает более 22K логических элементов.

Особенности платы:

— 2 x 20-контактных разъема, обеспечивающих в общей сложности 72 контакта GPIO, два Контакты +5V, два контакта +3V3 и четыре контакта GND.

— 1 x 26-контактный разъем, обеспечивающий 18 контактов GPIO и 8 контактов для аналоговый вход.

— 1 х 12-разрядный АЦП

— 1 x 2Kb EEPROM

— 1 x Акселерометр

Рис. 1. Плата Terasic DE0-Nano FPGA

Terasic также предоставляет программное обеспечение с панелью управления и Строитель системы. Панель управления позволяет вам общаться с платой, используя твой компьютер. К сожалению, мне не удалось заставить программу работать в два на разных компьютерах, поэтому я не буду включать его в свои уроки. System Builder просто создает несколько основных файлов, которые помогут вам начать проект: файл QPF (проект Quartus II файл), файл Verilog (объявление всех операций ввода/вывода), файл QSF (назначение выводов ПЛИС), файл SDC (временные ограничения для тестирования) и файл HTML для просмотра описания входов/выходов.

Рис. 2. Схема устройства DE0-Nano

Большую часть информации в этом руководстве можно найти на далеком подробнее на веб-сайте Terasic во вкладке «Ресурсы».

Вот шаги для создания проекта с нуля:

1. ПОДГОТОВКА ПРОГРАММНОГО ОБЕСПЕЧЕНИЯ

1.1. Программное обеспечение Альтера

Если у вас нет доступа к платной лицензии, мы будем использовать веб-версия Altera Quartus II. Этот инструмент анализа и синтеза ЛПВП обеспечивает компиляцию, временной анализ, диаграммы RTL и моделирование, среди прочего функции. Второй инструмент, который мы хотим установить, — это ModelSim, средство имитации.

Рис. 3. Главное окно Altera Quartus II

Вы можете скачать Quartus II v15.0 и ModelSim на веб-сайте Altera.

1.2. Программное обеспечение Terasic

Пока можно запустить проект без софта предоставленный Terasic, я рекомендую скопировать его на свой компьютер, так как он предоставляет руководства, несколько примеров проектов и System Builder.

Если у вас нет компакт-диска, поставляемого с платой, вам можно скачать содержимое по этой ссылке.

1.3. USB-бластер Altera

Чтобы связать наш DE0-Nano с нашим компьютером, нам необходимо установить соответствующий драйвер. К счастью, загрузок нет. необходимо, если вы уже установили Quartus II.

Для установки драйвера:

— Подключите DE0-Nano к компьютеру с помощью мини-USB. кабель.

— Перейдите в меню «Пуск», введите «Диспетчер устройств» и нажмите на него. как только он появится в Панели управления.

— В Диспетчере устройств разверните ветку Другие устройства.

— Щелкните правой кнопкой мыши Неизвестное устройство и выберите Обновить драйвер. Программное обеспечение.

— Выберите «Выполнить поиск драйверов на моем компьютере», нажмите «Поиск драйвера в этом месте» и выберите папку, в которой Квартус 2 установлен. Убедитесь, что установлен флажок «Включить подпапки».

2. СОЗДАНИЕ ВАШЕГО ПЕРВОГО ПРОЕКТА

2.1. Сборщик систем

Для запуска проекта нам нужны два файла: The Quartus файл проекта II (QPF) и файл настроек (QSF), содержащий PIN-код. назначения, ограничения, настройки ввода-вывода и синхронизация выводов.

Для удобства воспользуемся System Builder. для создания файла настроек для DE0-Nano, файла проекта и шаблона Файл Verilog:

— На компакт-диске, предоставленном Terasic, найдите папку Tools, затем папку DE0_Nano_SystemBuilder и откройте DE0_Nano_SystemBuilder.exe

— В System Builder дайте имя вашему проекту, выберите GPIO По умолчанию для обоих заголовков GPIO и укажите имя префикса для каждого заголовка.

— Далее нажмите «Создать» и выберите папку для размещения новые файлы.

Рис. 4. Terasic DE0-Nano System Builder

2.2. Квартус II

— Откройте файл QPF, который вы создали с помощью System. Строитель. Это откроет наш проект в Altera Quartus II.

— Нажмите на меню «Проект», затем «Добавить/удалить файлы в проекте» и нажмите на значок с 3 точками (…)  

— Найдите файл Verilog (.v), созданный сборщиком системы, нажмите «Добавить», а затем «ОК».

Файл Verilog теперь должен появиться в окне «Файлы» в Квартус II.

— Дважды щелкните файл Verilog, чтобы увидеть шаблон для наш проект.

Файл Verilog будет иметь экземпляры для всех ПЛИС. контакты, доступные через плату DE0-Nano.


Рис. 5. Добавление файлов в проект

Рис. 6. Quartus II показывает файлы в проекте


Поскольку мы хотим создать простой проект для тестирования нашей платы, мы удалим код в файле Verilog и вставим следующий код.

ПРИМЕЧАНИЕ. Верхний модуль должен соответствовать названию проекта. В моем примере я использовал Project1, поэтому убедитесь, что вы изменили его соответствующим образом.

модуль Project1(        // модуль верхнего уровня должен соответствовать имени

input CLOCK_50,         // файла проекта

выходной регистр [7:0]LED

рег   [25:0]количество;

reg clk2;

зарег.  состояние=0;

// делитель часов от 50 МГц до 1 Гц

всегда@(posedge CLOCK_50)

начало

if(count==26’d25_000_000)    // подсчитывает 25 млн циклов циклов и

begin                   // переключает clk2 hi или lo

количество<=0;

clk2 <= ~ клик2;

начало

количество <= количество + 1;

// конечный автомат мигает бортовыми светодиодами

всегда @(posedge clk2)

начало

дело(состояние)

1’b0:      начало

Светодиод[7:0] <= 8'b01010101;

состояние <= 1'b1;

1’b1:       начало

Светодиод[7:0] = 8’b10101010;

состояние <= 1'b0;

По умолчанию: начало

состояние <= 1'b0;

конец    

конечный модуль

Код делает две вещи. Он создает делитель часов для создайте часы с частотой 1 Гц и конечный автомат, чтобы встроенные светодиоды мигали.

Примечание. Если вы не хотите копировать и вставлять предоставленный код выше, вы можете получить копию моего файла Project1.v Verilog из моего репозитория Github. Просто убедитесь, что вы меняете имя файла и топ-модуля, чтобы оно соответствовало имени вашего проект. Все, что вам нужно сделать, это добавить файл через меню «Проекты».

2.3. Составление дизайна

— Когда файл Verilog будет готов, дважды щелкните «Скомпилировать». Дизайн. Процесс компиляции должен занять минуту или две.

— Предполагая, что ошибок нет, дважды щелкните список соединений EDA. Писатель.

— Наконец, дважды щелкните Program Device, чтобы открыть Программист Quartus II.

2.4. Настройка ПЛИС

— В главном окне Quartus II Programmer вы должны быть возможность увидеть файл SOF для вашего проекта и номер модели вашего FPGA.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *