8-900-374-94-44
[email protected]
Slide Image
Меню

Назначение семисегментного дешифратора: 1 Принцип построения дешифратора для семисегментного индикатора

1 Принцип построения дешифратора для семисегментного индикатора

Дешифраторы выпускаются в виде отдельных микросхем или используются в составе более сложных микросхем. В настоящее время десятичные или восьмеричные дешифраторы используются в основном как составная часть других микросхем, таких как мультиплексоры, демультиплексоры, ПЗУ или ОЗУ.

Условно-графическое обозначение микросхемы дешифратора на принципиальных схемах приведено на рисунке 2. На этом рисунке приведено обозначение двоично-десятичного дешифратора, полная внутренняя принципиальная принципиальная схема которого изображена на рисунке 1.

Рисунок 1 – Условно-графическое обозначение двоично-десятичного дешифратора

Точно таким же образом можно получить принципиальную схему и для любого другого декодера (дешифратора). Наиболее распространены схемы восьмеричных и шестнадцатеричных дешифраторов. Для индикации такие дешифраторы в настоящее время практически не используются.

В основном такие дешифраторы используются как составная часть более сложных цифровых модулей.

Для отображения десятичных и шестнадцатеричных цифр часто используется семисегментный индикатор. Изображение семисегментного индикатора и название его сегментов приведено на рисунке 3.

Рисунок 2 – Изображение семисегментного индикатора и название его сегментов

Для изображения на таком индикаторе цифры 0 достаточно зажечь сегменты a, b, c, d, e, f. Для изображения цифры ‘1’ зажигают сегменты b и c. Точно таким же образом можно получить изображения всех остальных десятичных или шестнадцатеричных цифр. Все комбинации таких изображений получили название семисегментного кода.

Составим таблицу истинности дешифратора, который позволит преобразовывать двоичный код в семисегментный. Пусть сегменты зажигаются нулевым потенциалом. Тогда таблица истинности семисегментного дешифратора примет вид, приведенный в таблице 1.

Конкретное значение сигналов на выходе дешифратора зависит от схемы подключения сегментов индикатора к выходу микросхемы. Эти схемы мы рассмотрим позднее, в главе, посвящённой отображению различных видов информации.

Таблица 1 – Таблица истинности

Входы

Выходы

8

4

2

1

a

b

c

d

e

f

g

0

0

0

0

0

0

0

0

0

0

1

0

0

0

1

1

0

0

1

1

1

1

0

0

1

0

0

0

1

0

0

1

0

0

0

1

1

0

0

0

0

1

1

0

0

1

0

0

1

0

0

1

1

0

0

0

1

0

1

0

1

0

0

1

0

0

0

1

1

0

0

1

0

0

0

0

0

0

1

1

1

0

0

0

1

1

1

1

1

0

0

0

0

0

0

0

0

0

0

1

0

0

1

0

0

0

0

1

0

0

В соответствии с принципами построения произвольной таблицы истинности по произвольной таблице истинности получим принципиальную схему семисегментного дешифратора, реализующего таблицу истинности, приведённую в таблице 1.

На этот раз не будем подробно расписывать процесс разработки схемы. Полученная принципиальная схема семисегментного дешифратора приведена на рисунке 4.

Рисунок 4 – Принципиальная схема семисегментного дешифратора

Для облегчения понимания принципов работы схемы на выходе логических элементов «И» показаны номера строк таблицы истинности, реализуемые ими.

Например, на выходе сегмента ‘a’ логическая единица появится только при подаче на вход комбинации двоичных сигналов 0001 (1) и 0100 (4). Это осуществляется объединением соответствующий цепей элементом «2ИЛИ». На выходе сегмента ‘b’ логическая единица появится только при подаче на вход комбинации двоичных сигналов 0101 (5) и 0110 (6), и так далее.

В настоящее время семисегментные дешифраторы выпускаются в виде отдельных микросхем или используются в виде готовых блоков составе других микросхем. Условно-графическое обозначение микросхемы семисегментного дешифратора приведено на рисунке 5.

Рисунок 5 – Условно-графическое обозначение семисегментного дешифратора

В качестве примера семисегментных дешифраторов можно назвать такие микросхемы отечественного производства как К176ИД3. В современных цифровых схемах семисегментные дешифраторы обычно входят в состав больших интегральных схем.

ДЕШИФРАТОР СЕМИСЕГМЕНТНОГО ИНДИКАТОРА

Дешифраторы, как и шифраторы преобразуют один код на своем входе в другой код, который и подают на выход. Одним из частных случаев использование дешифратора является его совместная работа с семисегментным индикатором. Обычно дешифратор преобразует двоичное число в сигнал на одном из своих выходов, но для этого конкретного случая используются специальные дешифраторы, которые преобразуют двоичный код на своем входе в код семисегментного индикатора на выходе. Работу данного типа приборов рассмотрим на примере микросхемы К514ИД2 [1-4]. 

Данная микросхема имеет четыре входа D1-D4, и семь выходов: a, b, c, d, e, f, g, для подключения к соответствующим сегментам семисегментного индикатора. Вывод R – разрешение работы, для того, что бы дешифратор реагировал на сигналы на своих  входах, на выводе R должен быть высокий логический уровень.

Следует особо отметить, что питание подается на 14 выход микросхемы К514ИД2, общий провод 6. Питание осуществляется от стабилизированного источника питания напряжением 5В.

Счетные импульсы будем подавать с мультивибратора [5], счет их будет осуществляться счетчиком с недвоичным коэффициентом пересчета [6], к выводам которого подключен дешифратор семисегментного индикатора.

Данная электрическая принципиальная схема получается достаточно сложной, поэтому, даже будучи правильно собранной, она иногда отказывается правильно работать из-за обилия штыревых непаянных соединений. Как говориться, электроника это наука о контактах. Очень многие проблемы в электротехнике и электронике сводятся к тому, что контакт есть там, где не надо или контакта нет там, где надо.

Опыт показал, что применение в лабораторных работах выпускаемых промышленностью семисегментных индикаторов неоправданно из-за того, что такие индикаторы обладают недостаточной «студентоустойчивостью», при неправильном подключении они быстро выходят из строя. Поэтому были разработаны модули, имитирующие работу семисегментных индикаторов на базе светодиодов АЛ307Б [7]. По этой причине цифры на индикаторе выглядят несколько непривычно, но общий принцип работы семисегментного индикатора уяснить вполне можно.

Видео

Литература

  1. https://kiloom.ru/spravochnik-radiodetalej/microsxema/k514id2-kr514id2.html
  2. http://ru.pc-history.com/mikrosxema-k514id2.html
  3. https://eandc.ru/pdf/mikroskhema/k514id2.pdf
  4. Ямпольский В.С. Основы автоматики и электронно-вычислительной техники – М. Просвещение, 1991
  5. http://radioskot.ru/publ/nachinajushhim/multivibrator_na_ehlementakh_i_ne/5-1-0-1366
  6. http://radioskot.ru/publ/nachinajushhim/schetchik_na_mikroskheme/5-1-0-1372
  7. http://radioskot.ru/publ/nachinajushhim/samodelnye_moduli_dlja_izuchenija_mikroskhem/5-1-0-1352

Файлы проекта тут. Автор материала: Denev.

   Форум

7.9: 7-сегментный дисплей — Workforce LibreTexts

  1. Последнее обновление
  2. Сохранить как PDF
  • Идентификатор страницы
    2209
    • Tony R. Kuphaldt
    • Schweitzer Engineering Laboratories via All About Circuits

    ЧАСТИ И МАТЕРИАЛЫ

    • 4511 Защелка/декодер/драйвер BCD-to-7seg (каталожный номер Radio Shack 900-4437)
    • 7-сегментный светодиодный дисплей с общим катодом (каталожный номер Radio Shack 276-075)
    • Восьмипозиционный DIP-переключатель (каталожный номер Radio Shack 275-1301)
    • Четыре резистора по 10 кОм
    • Семь резисторов по 470 Ом
    • Одна батарея 6 В

    Внимание! Микросхема 4511 представляет собой КМОП-матрицу и поэтому чувствительна к статическому электричеству!

    ПЕРЕКРЕСТНЫЕ ССЫЛКИ

    Уроки электрических цепей , Том 4, глава 9: «Функции комбинационной логики»

    ЦЕЛИ ОБУЧЕНИЯ

    • Как использовать 7-сегментный декодер/драйвер дисплея 4511 IC
    • Ознакомьтесь с кодом BCD
    • Как использовать 7-сегментные светодиодные сборки для создания дисплеев с десятичными цифрами
    • Как идентифицировать и использовать логические входы «активный-низкий» и «активный-высокий»

    СХЕМА

    ИЛЛЮСТРАЦИЯ


    ИНСТРУКЦИИ

    Этот эксперимент является скорее введением в микросхему драйвера декодера/дисплея 4511, чем уроком того, как «создать» цифровую функцию из компонентов более низкого уровня. Поскольку 7-сегментные дисплеи являются очень распространенными компонентами цифровых устройств, полезно ознакомиться с их «управляющими» схемами, а 4511 является хорошим примером типичной микросхемы драйвера.

    Его принцип работы состоит в том, чтобы ввести четырехбитное значение BCD (двоично-десятичное число) и активировать соответствующие выходные линии для формирования соответствующей десятичной цифры на 7-сегментном светодиодном дисплее. Входы BCD обозначаются A, B, C и D в порядке от наименее значимого к наиболее значимому. Выходы помечены буквами a, b, c, d, e, f и g, каждая буква соответствует стандартному обозначению сегмента для 7-сегментных дисплеев. Конечно, поскольку для каждого сегмента светодиода требуется собственный гасящий резистор, мы должны использовать семь резисторов по 470 Ом, включенных последовательно между выходными клеммами 4511 и соответствующими клеммами блока дисплея.

    Большинство 7-сегментных дисплеев также имеют десятичную точку (иногда две!), отдельный светодиод и клемму, предназначенную для ее работы. Все светодиоды внутри блока индикации выполнены общими друг с другом с одной стороны, катодной или анодной. Для микросхемы драйвера дисплея 4511 требуется 7-сегментный дисплей с общим катодом, поэтому здесь используется именно он.

    После сборки схемы и подачи питания нажмите четыре переключателя в двоичной последовательности (от 0000 до 1111), обращая внимание на 7-сегментный дисплей. Ввод 0000 должен привести к отображению десятичного «0», ввод 0001 должен привести к отображению десятичной «1» и так далее до 1001 (десятичное «9»).»). Что происходит с двоичными числами от 1010 (10) до 1111 (15)? Прочтите техническое описание микросхемы 4511 и посмотрите, что производитель указывает для работы выше входного значения 9. В двоично-десятичном коде нет реального значения для 1010, 1011, 1100, 1101, 1110 или 1111. Это двоичные значения. за пределами диапазона одной десятичной цифры и поэтому не имеют функции в системе BCD. Микросхема 4511 спроектирована таким образом, чтобы распознавать это и выводить (или не выводить!) соответственно.

    Три входа на микросхеме 4511 постоянно подключены либо к V dd или заземление: «Проверка лампы», «Ввод гашения» и «Включение фиксации». Чтобы узнать, что делают эти входы, удалите короткие перемычки, соединяющие их с любой шиной питания (по одной!), и замените короткую перемычку на более длинную, которая может достигать другой шины питания . Например, удалите короткую перемычку, соединяющую вход «Latch Enable» (контакт № 5) с землей, и замените ее длинной перемычкой, которая может доходить до шины питания V dd . Поэкспериментируйте, установив для этого входа «высокий» и «низкий», наблюдая за результатами на 7-сегментном дисплее при изменении двоично-десятичного кода с помощью четырех входных переключателей. После того, как вы узнали, какова функция входа, подключите его к шине питания, обеспечивающей нормальную работу, и приступайте к экспериментам со следующим входом (либо «Проверка лампы», либо «Вход гашения»).

    Опять же, технические данные производителя будут информативны в отношении назначения каждого из этих трех входов. Обратите внимание, что метки ввода «Lamp Test» (LT) и «Blanking Input» (BI) написаны с логическими полосами дополнения над аббревиатурами. Штриховые символы обозначают эти входы как active-low , что означает, что вы должны сделать каждый из них «низким», чтобы вызвать его конкретную функцию. Присвоение активному-низкому входу «высокого» переводит этот конкретный вход в «пассивное» состояние, когда его функция не будет вызываться. И наоборот, вход «Latch Enable» (LE) не имеет строки дополнения, написанной над его аббревиатурой, и, соответственно, он показан подключенным к земле («низкий уровень») на схеме, чтобы не вызывать эту функцию. Вход «Latch Enable» — это активный-высокий вход , что означает, что он должен быть сделан «высоким» (подключен к V dd ), чтобы вызвать его функцию.


    Эта страница под названием 7.9: 7-сегментный дисплей распространяется в соответствии с лицензией GNU Free Documentation License 1.3 и была создана, изменена и/или курирована Тони Р. Купхалдтом (Все о цепях) через исходное содержимое, отредактированное в соответствии со стилем и стандарты платформы LibreTexts; подробная история редактирования доступна по запросу.

    1. Наверх
      • Была ли эта статья полезной?
      1. Тип изделия
        Раздел или Страница
        Автор
        Тони Р. Купхалдт
        Лицензия
        ГНУ ФДЛ
        Версия лицензии
        1,3
      2. Теги
        1. источник@https://www. allaboutcircuits.com/textbook/experiments

      BCD в 7-сегментный декодер

      Необходимое условие – преобразование системы счисления и основания, BCD(8421)

      In Двоично-десятичное кодирование (BCD) схема кодирования каждого из десятичных чисел (0-9) представлен эквивалентным ему двоичным шаблоном (который обычно состоит из 4 бит).

      Принимая во внимание, что Семисегментный дисплей представляет собой электронное устройство, состоящее из семи светоизлучающих диодов (СИД), расположенных по определенной схеме (с общим катодом или общим анодом), которое используется для отображения шестнадцатеричных цифр (в данном случае десятичных). цифры, так как ввод двоично-десятичный, т. е. 0-9).

      Два типа семисегментного светодиодного дисплея:

      1. Тип с общим катодом: В этом типе дисплея все катоды семи светодиодов соединены вместе с землей или -Vcc (следовательно, общий катод), и светодиод отображает цифры, когда на отдельные аноды подается некоторый «ВЫСОКИЙ» сигнал.
      2. Тип общего анода: В этом типе дисплея все аноды семи светодиодов подключены к аккумулятору или +Vcc, а светодиоды отображают цифры, когда на отдельные катоды подается некоторый «НИЗКИЙ» сигнал.

      Но семисегментный дисплей не работает при прямой подаче напряжения на разные сегменты светодиодов. Сначала наше десятичное число преобразуется в сигнал, эквивалентный двоично-десятичному, затем декодер из двоично-десятичного числа в семисегментный преобразует эти сигналы в форму, которая подается на семисегментный дисплей.

      Этот семисегментный декодер BCD имеет четыре входных линии (A, B, C и D) и 7 выходных линий (a, b, c, d, e, f и g), этот выход предназначен для семисегментного светодиодного дисплея. который отображает десятичное число в зависимости от входных данных.

      Таблица истинности – Для семисегментного декодера с общим катодом:

      Примечание –

      • 1s» на выходной стороне, т. е. (для a, b, c, d, e, f и g замените все «1» на «0» и наоборот) и решите с помощью K-карты.
      • Выход первой комбинации входов (A, B, C и D) в таблице истинности соответствует «0», а последней комбинации соответствует «9». Точно так же остальные соответствуют от 2 до 8 сверху вниз.
      • Числа BCD находятся в диапазоне от 0 до 9, поэтому остальные входы от 10-F являются недействительными.

      Пример —

      Объяснение —
      Для комбинации, где все входы (A, B, C и D) равны нулю (см. Таблицу истинности), наши выходные строки равны a = 1, b = 1 , c = 1, d = 1, e = 1, f = 1 и g = 0. Таким образом, 7-сегментный дисплей показывает «ноль» в качестве вывода.

      Аналогично, для комбинации, где один из входных данных равен единице (D = 1), а остальные равны нулю, наши выходные строки равны a = 0, b = 1, c = 1, d = 0, e = 0, f = 0 и g = 0. Таким образом, будут светиться только светодиоды «b» и «c» (см. схему выше), а на 7-сегментном дисплее в качестве выхода будет отображаться «единица».

      Добавить комментарий

      Ваш адрес email не будет опубликован. Обязательные поля помечены *