8-900-374-94-44
[email protected]
Slide Image
Меню

Мультибит и дельта сигма: Дельта-сигма vs Мультибит — вопросы о ЦАПах: чипы и фильтры, мультибит, музыкальность и апскейлинг — Цифровые источники

Содержание

Сравнение мультибитных и дельта-сигма-цифроаналоговых преобразователей

Автор: Григорьев Павел Валерьевич

Рубрика: Технические науки

Опубликовано в Молодой учёный №18 (152) май 2017 г.

Дата публикации: 08.05.2017 2017-05-08

Статья просмотрена: 1976 раз

Скачать электронную версию

Скачать Часть 1 (pdf)

Библиографическое описание:

Григорьев, П. В. Сравнение мультибитных и дельта-сигма-цифроаналоговых преобразователей / П. В. Григорьев. — Текст : непосредственный // Молодой ученый. — 2017. — № 18 (152). — С. 30-33. — URL: https://moluch.ru/archive/152/43095/ (дата обращения: 26.04.2023).



Сфера применения ЦАП с каждым годом становится все шире, поскольку они находят использование в различных областях — усилители звука, системы автоматического управления, высокоточные установщики компонентов, устройства отображения, системы распознавания данных, калибровка датчиков и других измерительных устройств, цифровые потенциометры. В настоящее время широкое применения нашли два типа цифро-аналоговых преобразователей: мультибитные, построенные на базе R-2R матрице и ЦАП-ы с 1-битовым преобразованием, получившим название «дельта-сигма».

Ключевые слова: цифро-аналоговые преобразователи

The scope of the DAC is growing every year because they find use in various areas — sound amplifiers, video processing devices, audio codecs, display devices, data recognition systems, calibration of sensors and other measuring devices, motor control circuits, data distribution systems, Digital potentiometers.

Currently, two types of digital-to-analog converters have been widely used: multibit, based on R-2R matrix and DACs with 1-bit conversion, called «delta-sigma».

Keywords: Digital-to-analog converters

1 Описание типов ЦАП

Широкое применение нашли два типа ЦАП: мультибитные и дельта-сигма цифро-аналоговые преобразователи. Говоря о мультибитных ЦАП, будет рассматриваться цифро-аналоговый преобразователь, построенный на матрице резисторов. Так же известный, как ЦАП с взвешиванием токов или ЦАП на базе матрицы R-2R. На рисунке 1 изображена матрица резисторов.

Рис. 1.Матрица резисторов R-2R

В цифро-аналоговом преобразователе, построенном на матрице резисторов R-2R формируется ряд напряжений, которые отличаются друг от друга в два раза. В конце резистивной цепочки находятся два резистора номиналом 2R, включенных параллельно. В результате их общее сопротивление равно R. При соединении резистора R и параллельного соединения двух резисторов 2R образуется делитель напряжения с коэффициентом деления 2. В результате напряжение на его выходе будет в два раза меньше напряжения на его входе. Общее сопротивление делителя составляет 2R, так как сопротивления R в нем соединены последовательно. В результате в следующем звене матрицы ситуация аналогичная., поскольку снова образуется параллельное соединение двух резисторов 2R и снова образуется делитель напряжения в два раза. Так как напряжения в узлах матрицы R-2R отличаются друг от друга ровно в два раза, то и ток через резисторы 2R будет отличаться ровно в два раза, то есть подчиняться двоичному закону. Если теперь эти токи подавать или не подавать на вход аналогового сумматора на ОУ в зависимости от входного двоичного числа, то мы получим цифроаналоговый преобразователь.

В основе работы дельта-сигма ЦАП лежит дельта-сигма модуляция. Сигма-дельта модуляция предназначена для аналого-цифрового и цифро-аналогового преобразований звуковых сигналов. В отличие от импульсно-кодовой модуляции она позволяет использовать при этих операциях достаточно грубые преобразователи с числом разрядов вплоть до одного, обеспечивая при этом отношение сигнал шум до 120…140 дБ. Технология производства ЦАП на основе сигма-дельта модуляции значительно проще и дешевле, поэтому такие преобразователи широко используются в современных звуковых картах, оптической звукозаписи, цифровых магнитофонах, в измерительной и другой технике. На рисунке 2 изображена функциональная схема 1 бит ЦАП на основе дельта-сигма — модулятора 1 порядка.

Рис. 2. Функциональная схема 1 бит ЦАП на основе дельта-сигма — модулятора 1 порядка

2. Переходные процессы микросхем цифро-аналоговых преобразователей.

Хорошо спроектированная система должна не только быть устойчивой и поддерживать заданную точность в установившемся режиме, но и плавно переходить на новый режим при изменении заданного значения выхода (уставки). Качество переходных процессов обычно оценивается по переходной характеристике: один из простейших сигналов — так называемый «единичный скачок» («единичный ступенчатый сигнал»), то есть мгновенное изменение входного сигнала с 0 до 1 в момент .

Формально этот сигнал определяется так:

График единичного ступенчатого сигнала и реакция системы на него показаны на рисунке 3.

Рис. 3. График единичного ступенчатого сигнала и реакция системы на него

Для тестирования была выбрана дельта-сигма ЦАП РСМ1794, на вход которой был подан прямоугольный импульс. На рисунке 4 представлена выходной сигнал микросхемы ЦАП, на котором отчетливо видно отличие формы сигнала, снятого с выхода микросхемы ЦАП, от прямоугольного импульса.

Рис. 4. Реакции дельта-сигмы ЦАП РСМ1794 на единичный ступенчатый сигнал

На рисунке 5 показан меандр частотой 1 кГц, который подается на входы дельта-сигма ЦАП РСМ1794 и мультибитной ЦАП РСМ1704. По причине цифровой фильтрации внутри РСМ1794 его переходной процесс носит колеба­тельный характер. Переходной процесс микросхемы ЦАП РСМ1704 так же слегка колебательный, но колебания есть только после завершения фронта, и обусловлены выходным аналоговым фильтром.

Рис. 5: 1) Реакции мультибитной ЦАП РСМ1704 на меандр частотой 1 кГц; 2) Реакции дельта-сигмы ЦАП РСМ1794 на меандр частотой 1 кГц

Выводы

Одной из самых важных характеристик цифро-аналогового преобразователя является переходная характеристика т. е. реакция на изменение входного сигнала от нуля до единицы за короткий промежуток времени. По характеру монотонности переходной характеристики можно судить о точности воспроизведения аналогового сигнала.

В силу особенностей принципа работы (соседние отсчеты после применения цифрового фильтра зависят друг от друга) все дельта-сигма ЦАП имеют колебательную переходную характеристику. В то время как мультибитные ЦАП, без применения дополнительного внешнего (по отношению к ЦАП) цифрового фильтра лишены этого недостатка.

Литература:

  1. C. C. Cutler, «Transmission Systems Employing Quantization», U.S. patent 2,927,962 (1960 Mar. 8). Massachusetts Institute of Technology, Cambridge.
  2. M. W. Hauser. Principles of Oversampling A/D conversion. J. Audio Eng. Soc. v. 39, 1990.
  3. Pervez M.Aziz. Multi-band Oversampled Noise Shaping Analog to Digital Conversion. A dissertation in Electrical Engneering. University of Pennsylvania, 1996,-172 p.
  4. Pervez M.Aziz, Henrik V. Sorensen, Jan van der Spiegel. An Overview of Sigma-Delta Converters. IEEE, 1996.
  5. Григорьев П. В., Разработка устройства для преобразования форматов «Универсальный аудио-преобразователь» // Наукоемкие технологии и интеллектуальные системы — 2017. 2017. № 1. С. 214–219.

Основные термины (генерируются автоматически): ЦАП, единичный ступенчатый сигнал, матрица резисторов, меандр частотой, переходная характеристика, цифро-аналоговый преобразователь, входной сигнал, параллельное соединение, прямоугольный импульс, функциональная схема.

Ключевые слова

цифро-аналоговые преобразователи

цифро-аналоговые преобразователи

Похожие статьи

Программирование синусоидального и пилообразного

сигналов. ..

ЦАП (DAC) – цифроаналоговый преобразователь, устройство для преобразования входного дискретного (обычно двоичного) кода в аналоговый сигнал. ЦАП является интерфейсом между дискретным цифровым миром и реальным аналоговым.

Аналого-цифровое преобразование | Статья в журнале…

Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками

Разработка формирователя

сигнала высокоскоростной…

Совместно с новейшими быстродействующими цифроаналоговыми преобразователями (ЦАП) Analog Devices используется буфер сигналов синхронизации/данных ADCLK914 с крайне высоким быстродействием [3].

АЦП на основе ФАПЧ | Статья в журнале «Молодой ученый»

АЦП преобразует аналоговый сигнал (напряжение) в дискретный код (цифровой сигнал), над которым впоследствии выполняются определенные действия.

Рис. 3. Функциональная схема синтезатора частоты.

Автоматизация радиометрических измерений низкоинтенсивных…

Рисунок 1 — Функциональная схема супергетеродинного корреляционного радиометра.

Выходные сигналы с 13 поступают на усилители 14 и 15 промежуточной частоты, в

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням…

Генератор

сигналов произвольной формы на микроконтроллере.. .

Для выполнения данной задачи применяется цифроаналоговый преобразователь (ЦАП) с последующим использованием фильтра нижних частот (ФНЧ) для подавления ступенек на сигнале.

Коррекция динамических погрешностей измерительных…

ИП и ВКУ можно функционально объединить в один блок, который имеет более высокие физико-технические характеристики по сравнению с исходным ИП.

Суперпозиция множества таких частот приводит к входным сигналам спектрометра в виде гауссовых пиков.

Проектирование прецизионных помехоустойчивых импульсных…

Конечная емкость источника сигнала Cи может привести к неустойчивости схемы, особенно при использовании длинных входных проводников от акустоэлектрического

Экспериментальная оценка влияния работы преобразователей частоты на форму сигналов токов и напряжений.

Программирование синусоидального и пилообразного

сигналов

ЦАП (DAC) – цифроаналоговый преобразователь, устройство для преобразования входного дискретного (обычно двоичного) кода в аналоговый сигнал. ЦАП является интерфейсом между дискретным цифровым миром и реальным аналоговым.

Аналого-цифровое преобразование | Статья в журнале…

Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками

Разработка формирователя

сигнала высокоскоростной. ..

Совместно с новейшими быстродействующими цифроаналоговыми преобразователями (ЦАП) Analog Devices используется буфер сигналов синхронизации/данных ADCLK914 с крайне высоким быстродействием [3].

АЦП на основе ФАПЧ | Статья в журнале «Молодой ученый»

АЦП преобразует аналоговый сигнал (напряжение) в дискретный код (цифровой сигнал), над которым впоследствии выполняются определенные действия.

Рис. 3. Функциональная схема синтезатора частоты.

Автоматизация радиометрических измерений низкоинтенсивных…

Рисунок 1 — Функциональная схема супергетеродинного корреляционного радиометра.

Выходные сигналы с 13 поступают на усилители 14 и 15 промежуточной частоты, в

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням. ..

Генератор

сигналов произвольной формы на микроконтроллере…

Для выполнения данной задачи применяется цифроаналоговый преобразователь (ЦАП) с последующим использованием фильтра нижних частот (ФНЧ) для подавления ступенек на сигнале.

Коррекция динамических погрешностей измерительных…

ИП и ВКУ можно функционально объединить в один блок, который имеет более высокие физико-технические характеристики по сравнению с исходным ИП.

Суперпозиция множества таких частот приводит к входным сигналам спектрометра в виде гауссовых пиков.

Проектирование прецизионных помехоустойчивых импульсных…

Конечная емкость источника сигнала Cи может привести к неустойчивости схемы, особенно при использовании длинных входных проводников от акустоэлектрического

Экспериментальная оценка влияния работы преобразователей частоты на форму сигналов токов и напряжений.

Похожие статьи

Программирование синусоидального и пилообразного

сигналов

ЦАП (DAC) – цифроаналоговый преобразователь, устройство для преобразования входного дискретного (обычно двоичного) кода в аналоговый сигнал. ЦАП является интерфейсом между дискретным цифровым миром и реальным аналоговым.

Аналого-цифровое преобразование | Статья в журнале…

Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками

Разработка формирователя

сигнала высокоскоростной. ..

Совместно с новейшими быстродействующими цифроаналоговыми преобразователями (ЦАП) Analog Devices используется буфер сигналов синхронизации/данных ADCLK914 с крайне высоким быстродействием [3].

АЦП на основе ФАПЧ | Статья в журнале «Молодой ученый»

АЦП преобразует аналоговый сигнал (напряжение) в дискретный код (цифровой сигнал), над которым впоследствии выполняются определенные действия.

Рис. 3. Функциональная схема синтезатора частоты.

Автоматизация радиометрических измерений низкоинтенсивных…

Рисунок 1 — Функциональная схема супергетеродинного корреляционного радиометра.

Выходные сигналы с 13 поступают на усилители 14 и 15 промежуточной частоты, в

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням. ..

Генератор

сигналов произвольной формы на микроконтроллере…

Для выполнения данной задачи применяется цифроаналоговый преобразователь (ЦАП) с последующим использованием фильтра нижних частот (ФНЧ) для подавления ступенек на сигнале.

Коррекция динамических погрешностей измерительных…

ИП и ВКУ можно функционально объединить в один блок, который имеет более высокие физико-технические характеристики по сравнению с исходным ИП.

Суперпозиция множества таких частот приводит к входным сигналам спектрометра в виде гауссовых пиков.

Проектирование прецизионных помехоустойчивых импульсных…

Конечная емкость источника сигнала Cи может привести к неустойчивости схемы, особенно при использовании длинных входных проводников от акустоэлектрического

Экспериментальная оценка влияния работы преобразователей частоты на форму сигналов токов и напряжений.

Программирование синусоидального и пилообразного

сигналов

ЦАП (DAC) – цифроаналоговый преобразователь, устройство для преобразования входного дискретного (обычно двоичного) кода в аналоговый сигнал. ЦАП является интерфейсом между дискретным цифровым миром и реальным аналоговым.

Аналого-цифровое преобразование | Статья в журнале…

Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками

Разработка формирователя

сигнала высокоскоростной. ..

Совместно с новейшими быстродействующими цифроаналоговыми преобразователями (ЦАП) Analog Devices используется буфер сигналов синхронизации/данных ADCLK914 с крайне высоким быстродействием [3].

АЦП на основе ФАПЧ | Статья в журнале «Молодой ученый»

АЦП преобразует аналоговый сигнал (напряжение) в дискретный код (цифровой сигнал), над которым впоследствии выполняются определенные действия.

Рис. 3. Функциональная схема синтезатора частоты.

Автоматизация радиометрических измерений низкоинтенсивных…

Рисунок 1 — Функциональная схема супергетеродинного корреляционного радиометра.

Выходные сигналы с 13 поступают на усилители 14 и 15 промежуточной частоты, в

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням. ..

Генератор

сигналов произвольной формы на микроконтроллере…

Для выполнения данной задачи применяется цифроаналоговый преобразователь (ЦАП) с последующим использованием фильтра нижних частот (ФНЧ) для подавления ступенек на сигнале.

Коррекция динамических погрешностей измерительных…

ИП и ВКУ можно функционально объединить в один блок, который имеет более высокие физико-технические характеристики по сравнению с исходным ИП.

Суперпозиция множества таких частот приводит к входным сигналам спектрометра в виде гауссовых пиков.

Проектирование прецизионных помехоустойчивых импульсных…

Конечная емкость источника сигнала Cи может привести к неустойчивости схемы, особенно при использовании длинных входных проводников от акустоэлектрического

Экспериментальная оценка влияния работы преобразователей частоты на форму сигналов токов и напряжений.

однобитники и мультибитники (часть 1) • Stereo.ru

Винил, конечно, сейчас — модная штука, друзья, но побороть цифровую дистрибуцию музыки ему не придется никогда. Цифровые источники звука вот уже более полутора десятка лет прочно удерживают доминирующее положение как в профессиональном, так и в бытовом секторах электроники. Поговорим о том, как выжать максимум Hi-Fi-соков из ассортимента плодов — от интернет-радиостанций до 24-битового аудио.

Когда-то проигрыватель компакт-дисков был единственным решением, и вообще поначалу считался крутым High End, но сегодня эту тему, похоже, можно считать морально исчерпанной. Да, по старинке еще многие держат CD в коллекциях, но как физический носитель он проигрывает винилу, который банально красивее выглядит, а технически уступает по параметрам HD-аудио, которым уже вовсю торгуют в интернете не только аудиофильские, но и мейджор-лейблы. Таким образом, вместо CD-плеера нам нужно более универсальное устройство с внешними входами, которое могло бы преобразовать двоичный код из нулей и единиц в аналоговый сигнал, который далее подавался бы на усилитель и колонки в итоге.

ЦАПы есть везде

Блоком с цифроаналоговым преобразователем (ЦАПом, конвертером, DAC) оснащен и AV-ресивер, и CD-, и в принципе любой медиаплеер. Как самостоятельное устройство ЦАПы появились в качестве High-End-апгрейда существующему CD-проигрывателю. Конструкторы полагали, что плеер разумнее разнести в отдельные блоки с собственным электропитанием.

Один из первых внешних ЦАПов Sony DAS-R1, выпущен в конце 1987 года

В первом устанавливалась собственно механическая часть со считывающей оптической системой и цифровым выходом. Это называлось CD-транспорт. Во втором блоке движущихся узлов уже не было — лишь плата ЦАПа, значение которого в настоящее время выросло до звания цифрового хаба. Кстати, очень часто бывает и так, что в современном CD-проигрывателе найдется пара цифровых входов для подключения внешних источников.

Жизненный цикл звука от источника, последующей записи и оцифровки, обработки, и обратного цикла — цифроаналогового преобразования

Современный конвертер взаимодействует с целым рядом источников сигнала — главное, чтобы для всех нашлась соответствующая коммутация. Источником может быть и старенький DVD-плеер – обычно они подключаются через оптический TosLink или коаксиальный кабель. Последний выглядит как обычный «тюльпан» из стереопары. Дорогие модели могут еще используют соединение разъемами типа XLR. С помощью USB входа к ЦАПу можно подключить компьютер или портативный источник звука.

Помимо этого, портативные ЦАПы делают совместимыми с источниками на основе iOS- или Android-телефонами, айподами, планшетами и другими гаджетами. Фактически во всех этих случаях конвертер становится внешним звуковым модулем с отдельным питанием и хорошей начинкой, которые не снились в штатной мультимедийной технике. А еще современные ЦАПы нередко оснащают усилителем для наушников.

Мультибитные и однобитные ЦАПы

До 21 века цифроаналоговые преобразователи оперировали только с 16-битным аудио, согласно формату Red Book для компакт-диска. Другого просто не было. Частота дискретизации у CD была 44 кГц, у профессиональных DAT-рекордеров капельку выше — 48 кГц. Сначала все ЦАПы работали по «параллельному» принципу — все 16-разрядов «взвешивались» на R-2R матрице (резисторной схеме лестничного типа).

Пример схемы R/2R ЦАПа

Знатоки знают наизусть и ценят такие марки чипов, как Burr-Brown PCM63 или Philips TDA1541. Однако R-2R матрицы оказались дороговатым и не слишком технологичным удовольствием. Требовалась точная лазерная подгонка всех номиналов сопротивлений. В противном случае при работе неточный замер битов приводил к нарушению линейности сигнала.

Поэтому на смену R-2R пришли ЦАПы с 1-битовым преобразованием, получившим название «дельта-сигма». Если мультибитники выдавали напряжение сигнала напрямую, исходя из всех поступивших на матрицу 16-битовых данных, то в дельта-сигме напряжение колебалось в зависимости от того «ноль» пришел на приемник или «единичка». 1 — означала увеличение напряжения аналогового сигнала, а 0 — уменьшение.

Микросхема мультибитного ЦАПа Burr-Brown PCM63

Старые аудиофилы нет да и вспомнят музыкальность R-2R чипов, но и деваться некуда. Дельта-сигма оказались и практичнее в настройке, и дешевле в производстве. Да и качество SACD-формата доказало, что 1-битовое преобразование отлично умеет справляться с High-End задачами. Частота дискретизации SACD измеряется уже не кило-, а мегагерцами, поэтому в схеме можно обойтись совсем простыми аналоговыми фильтрами.

В классических схемах на базе PCM до сих пор приходится фильтровать помехи квантования цифровым способом — их существует несколько и некоторые модели ЦАПов предоставляют возможность выбрать один из них.

Сами же дельта-сигмы прогрессировали в сторону гибридных схем, где поток обрабатывался каскадами, как по 1-битной, так и параллельной схеме. Но самое главное, величина цифрового слова выросла в них сначала до 24, а потом и до 32 бит. Кроме того, перспективным направлением являются ЦАПы на программируемых вентильных матрицах (FPGA), где и вовсе нет традиционных конвертеров.

Современный ЦАП Mytek Manhattan работает с потокоми РСМ 32 бит / 384 кГц, DXD, DSD-DS-DSD256 (11. 2 MHz)

Для чего такая расширенная разрядность? Для достоверности. В профессиональной индустрии сегодня используются 24-битная запись, обеспечивающая более точное описание оригинального сигнала. Как уже упоминалось, ряд музыкальных изданий уже доступен в формате высокого разрешения. Так что можно, конечно, послушать урезанную версию на компакт-диске или МР3, но согласитесь, интереснее встать на одну ступеньку ближе к звукорежиссерам, которые возились с вашим любимым альбомом. И поэтому ваш ЦАП должен быть полностью готовым для приема контента высокого разрешения — как по USB, так и по остальным протоколам передачи данных.

Продолжение:

Выбираем лучший ЦАП: сердце ангела (часть 2)

Выбираем лучший ЦАП: старики, нахалы и нахальные старики (часть 3)

Выбираем лучший ЦАП: FPGA-модули на гиперскорости (часть 4)

Опрос

DAC: кто-нибудь предпочитает слушать однобитный сигнал Delta Sigma, а не многобитный сигнал NOS?

#8