8-900-374-94-44
[email protected]
Slide Image
Меню

Altera quartus 2 – Изучение Altera Quartus II и Quartus Prime

Изучение Altera Quartus II и Quartus Prime

Компания Altera (с 2016 года — подразделение Intel) является производителем микросхем FPGA и CPLD. Она так же разработала среду программирования для своих микросхем Altera Quartus II. Эта программа САПР позволяет проектировать логику работы микросхем схемотехнически и на языках программирования AHDL, VHDL, Verilog и других. Среда программирования Altera Quartus II так же позволяет производить симуляцию проектов, программировать микросхемы и многое другое.

Альтера на протяжении многих лет совершенствовала среду Quartus II и в настоящее время, то есть последняя версия этой программы, теперь называется Altera Quartus Prime.

Существуют бесплатные, но вполне функциональные версии САПР Quartus II Web Edition или последняя Quartus Prime Lite. Есть и платные подписки. Однако, даже для профессиональной работы зачастую хватает бесплатных версий квартуса.

Несомненно отличия между Quartus II и Quartus Prime есть. В первую очередь нужно обратить внимание, что для разных серий ПЛИС может потребоваться разная САПР. Ниже представлена таблицы, которая показывает, какие серии ПЛИС мы используем в своих платах серий Марсоход, Марсоход2 и Марсоход3 и какие САПР следует выбирать.

  Quartus Prime   

Cyclone III
Марсоход2

Cyclone IV
Марсоход2bis

MAX II
Марсоход
MAX 10
Марсоход3, Марсоход3bis 
Quartus II v13.1     

Чтобы Вам было легче осваивать программу Quartus II мы подготовили несколько пошаговых инструкций. 

Вот они:

Следующие статьи помогут Вам разобраться со схемотехническим описанием проектов в среде Altera Quartus II:

Кроме этого мы подготовили краткое описание языка проектирования цифровой логики Verilog.

Его можно скачать здесь:

 

marsohod.org

Как установить среду разработки Quartus II

Начинающим часто непросто бывает разобраться с процессом установки среды разработки Quartus II для программируемых логических интегральных схем, или ПЛИС, фирмы Altera. Это руководство поможет сделать первый шаг в освоении такого непростого, но интересного дела, как разработка для ПЛИС.

1Выбор версииQuartus II

Для начала нужно выбрать подходящую версию среды разработки Quartus II. Выбор зависит от того, какую именно ПЛИС вы будете использовать в своей работе: устаревшие ПЛИС не поддерживаются в самых последних выпусках Квартуса. Заходим на официальный сайт фирмы Altera и внизу страницы в разделе

«Software Selector» смотрим, какая версия Quartus поддерживает вашу конкретную модель ПЛИС.

Выбираем подходящую версию Quartus II

2Выбор версии Quartus IIпо устройству

Переключаясь между вкладками, можно выбирать по версии (Select by Version) Quartus, можно по устройству (Select by Device), т.е. по семейству ПЛИС, которое вы планируете использовать в своей работе. Когда выбрали подходящую версию, нажимаем на ссылку с названием версии.

Нужно помнить, что «Subscription Edition» – это платная версия. Мы должны скачать бесплатную версию Quartus II – «Web Edition». Выбираем версию Quartus II в зависимости от семейства ПЛИС, которое будем использовать

3Выбор компонентовQuartus II

Нас переводят на страницу с выбором операционной системы и компонентов Quartus II. Можно выбрать нужные компоненты по отдельности, скачать как DVD или как пакет. Проще всего зайти на ссылку

«Combined Files» и скачать нужный архив с поддержкой всех требуемых устройств, кликнув по иконке с картинкой загрузки.

Выбор компонентов Quartus II

4Регистрацияна сайте Altera

Для продолжения нам предложат зарегистрироваться на сайте Altera. Регистрируемся. Процедура стандартная. Начинается загрузка, ждём ей окончания. Время загрузки зависит от скорости вашего интернет-соединения. Объём скачиваемых данных примерно 3-4 Гб

Регистрация на официальном сайте Altera

5Установка среды разработкиQuartus II

Когда всё загрузилось, запускаем файл QuartusSetupWeb.exe. Выбираем место расположения Quartus II.

В пути к директории установки Quartus II не должно быть кириллицы и пробелов (например, «Мои документы» – плохое место для установки Квартуса), иначе в будущем это может вызвать проблемы при работе с ПЛИС. Хороший вариант пути для установки

C:/Altera/.

Далее выбираем компоненты для установки. В зависимости от параметров вашего компьютера, процесс установки может занять до часа. Дожидаемся окончания процесса установки среды разработки Quartus II на компьютер.

Установка среды разработки Quartus II

6Окончание установкиQuartus ii

Всё, среда разработки Quartus II установлена! Запускаем её по ярлыку на рабочем столе или в меню «Пуск».

Окончание установки Quartus ii

Обратите внимание

ПЛИС чувствительны к статическому электричеству, так что применяйте соответствующие меры предосторожности.

soltau.ru

Система проектирования Quartus II

Средство разработки Quartus II — это следующий шаг в проектировании устройств с высокой степенью интеграции, включая разработку законченных систем на одном программируемом кристалле (System-on-a-programmable-chip (SOPC)).

Программное обеспечение Quartus II предоставляет полный цикл для создания высокопроизводительных систем на кристалле. Quartus II объединяет в себе проектирование, синтез, размещение элементов, трассировку соединений и верификацию, связь с системами проектирования других производителей.

Разработка систем на кристалле требует от разработчиков эффективной командной работы. Изменения в одной части проекта должно иметь минимальное влияние на других членов команды. Программное обеспечение Quartus II — это наиболее комплексная среда для разработки систем на кристалле SOPC, доступная в настоящее время. Quartus II включает в себя блочный метод разработки LogicLock.

LogicLock

LogicLock — это новая блочная методология проектирования, доступная исключительно в программном обеспечении Quartus II. Quartus II совместно с LogicLock — единственное программное обеспечение для разработки устройств на основе программируемой логики, которое включает в себя блочную методологию проектирования как стандартную функцию. Это помогает увеличить эффективность работы разработчиков, снизить время проектирования и верификации. LogicLock позволяет проектировать и проверять каждый модуль отдельно. Разработчики могут объединять готовые модули в проект верхнего уровня, сохраняя производительность каждого модуля в процессе объединения. LogikLock снижает время разработки и верификации, поскольку каждый модуль оптимизируется только один раз.

NativeLink

NativeLink — позволяет осуществлять связь между средством разработки Quartus II и программным обеспечением других производителей. NativeLink позволяет средствам синтеза сторонних производителей преобразовывать свои примитивы напрямую в примитивы устройств Altera. Прямое преобразование сокращает время компиляции и освобождает от использования дополнительных библиотек трансляций преобразований, которые могут ограничить производительность, достигнутую средствами проектирования сторонних производителей. Процесс разработки NativeLink позволяет разработчикам использовать Quartus II для размещения элементов, а средства проектирования других производителей — для оптимизации стратегий синтеза.

PowerFit 

Технология размещения элементов и трассировки соединений PowerFit в программном обеспечении Quartus II использует временные параметры, заданные разработчиком, для оптимального составления схемы и размещения логических элементов. Интеллектуальный алгоритм трассировки по временным параметрам в программном обеспечении Quartus II уделяет первостепенное внимание соединениям, критичным к временным параметрам. Критичные к временным параметрам соединения оптимизируются в первую очередь, для уменьшения задержек и достижения максимальной производительности (fMAX). Дальнейшее улучшение параметра fMAX достигается использованием новейшей архитектуры, такой как в семействе устройств Stratix. Эта передовая технология размещения элементов и трассировки соединений помогает пользователям программного обеспечения Quartus II достичь максимальной производительности, и обладает самым малым временем компиляции проекта среди подобных средств разработки.

Верификация

Проверка или верификация проекта может оказаться самой продолжительной стадией в процессе разработки высокопроизводительных систем на кристалле (SOPC). Однако, используя Quartus II, возможно сократить время верификации, поскольку это программное обеспечение обладает набором собственных средств верификации, интегрированных с последними средствами верификации сторонних фирм.

Анализ

Altera разработала два метода, для того, чтобы помочь разработчикам проанализировать состояние внутренних точек и входов/выходов устройства. Это отладочное средство SignalProbe и логический анализатор SignalTap. Технологии SignalTap и SignalProbe могут работать совместно со средствами синтеза сторонних производителей и не требуют внесения изменений в исходный HDL файл проекта.

SignalProbe

Доступная в последних версиях программного обеспечения Quartus II технология аппаратной отладки SignalProbe позволяет пользователям последовательно соединять внутренние точки устройства со свободными зарезервированными выводами для анализа с помощью осциллографа или логического анализатора. При использовании технологии SignalProbe сохраняются все временные параметры и межсоединения устройства.

SignalTap  

Для многих разработчиков, которые используют корпуса BGA с большим количеством входов/выходов, верификация системного уровня занимает очень много времени и иногда сильно затруднена. Логический анализатор SignalTap производит верификацию, с помощью интеграции функциональности логического анализатора в программном обеспечении. SignalTap позволяет разработчикам собрать данные с любых внутренних точек и входов/выходов устройства в режиме реального времени при работе системы. Quartus II вставляет в проект мегафункцию, содержащую логический анализатор. Данные собираются и сохраняются в блоках встроенной памяти устройства и направляются в программное обеспечение Quartus II через загрузочный кабель. Разработчики также могут подать внутренние сигналы на выводы устройства для дальнейшего мониторинга. Логический анализатор SignalTap позволяет существенно снизить время верификации, что позволяет в более короткие сроки выпускать новые продукты.

PowerGauge 

Программное обеспечение Quartus II включает технологию PowerGauge — первое интегрированное средство анализа энергопотребления. Средство анализа PowerGauge использует файлы, созданные в процессе моделирования для того, чтобы связать оценку потребления энергии с заданными параметрами устройства. Используя симулятор Quartus II или симуляторы сторонних производителей, интегрированный анализатор энергопотребления позволяет потребителям Altera установить и оптимизировать потребление энергии на более ранней стадии процесса разработки.

Поддержка 

Информация о поддержке семейств ПЛИС Altera в ПО Quartus II представлена в таблице 1.

Версии Quartus II Web Edition и Subscription Edition находятся на диске ALTERA, который можно заказать на сайте компании Гамма.

На сайте Altera доступен on-line курс Using the Quartus II Software: An Introduction.

Новая версия ПО Quartus II v8.0

Назад

icgamma.ru

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *