8-900-374-94-44
[email protected]
Slide Image
Меню

Hdl verilog: Краткий курс HDL. Часть 2.1. Описание языка Verilog

Retrascope: инструмент статического анализа hdl-описаний

  • Об институте
  • Инновации
  • Структура
  • Образование
  • Издания
  • Новости

Скачать сборник технологий

Retrascope – инструмент функциональной верификации модулей цифровой аппаратуры. Retrascope предоставляет автоматизированные средства анализа кода, извлечения формальных моделей и генерации функциональных тестов. В качестве входных данных инструмент принимает описания модулей цифровой аппаратуры на синтезируемых подмножествах языков Verilog и VHDL, а также спецификации поведения.

Особенности и преимущества

Retrascope – открытый инструмент функциональной верификации модулей цифровой аппаратуры. Инструмент реализует ряд методов извлечения и анализа формальных моделей, а также генерации функциональных тестов. Модульная архитектура Retrascope позволяет разрабатывать гибридные техники верификации HDL-описаний за счёт комбинирования различных средств анализа формальных моделей.

Retrascope доступен на сайте ИСП РАН: https://forge.ispras.ru/projects/retrascope.

Retrascope – это:

  • Извлечение формальных моделей из исходного кода:
    • граф потока управления;
    • решающая диаграмма охраняемых действий;
    • высокоуровневая решающая диаграмма;
    • расширенный конечный автомат.
  • Генерация функциональных тестов:
    • случайные тесты;
    • выявление недостижимого кода;
    • выявление типовых ошибок;
    • проверка пользовательских свойств.
  • Проверка формальных моделей (model checking) на соответствие спецификациям:
    • PSL;
    • SystemVerilog Assertions.
  • Графический интерфейс на основе Eclipse IDE (также доступен интерфейс командной строки):
    • запуск инструмента с параметрами;
    • визуализация извлеченных моделей (Zest, GraphML).
  • Открытый исходный код (лицензия Apache 2.0).
  • Расширяемость на уровне исходного кода:
    • добавление новых моделей;
    • расширение набора средств анализа.
  • Открытые интерфейсы взаимодействия и форматы позволяют использовать различные средства для достижения целей анализа и верификации:
    • SMT-решатели – язык SMT-LIB v2;
    • Средства проверки моделей – язык SMV;
    • Функциональные тесты – языки VHDL и Verilog, формат VCD.
  • Для кого предназначен Retrascope?

    • Компании, занимающиеся проектированием цифровой аппаратуры.
    • Коллективы, проводящие исследования в области функциональной верификации цифровой аппаратуры.

    Опыт внедрения

    Инструмент находится на стадии исследовательского прототипа, ведётся разработка.

    Системные требования

    ОС Windows или ОС на базе ядра GNU/Linux, Java 8.

    Схема работы

    Разработчик/участник

    Технологии программирования

    Перейти к списку всех технологий


    Verilog Tutorial — javatpoint

    следующий →

    Verilog — это язык описания оборудования (HDL). Это язык, используемый для описания цифровой системы, такой как сетевой коммутатор, микропроцессор, память или триггер. Мы можем описать любое цифровое оборудование, используя HDL на любом уровне. Схемы, описанные в HDL, не зависят от технологии, их очень легко проектировать и отлаживать, и обычно они более полезны, чем схемы, особенно для больших схем.

    Что такое Verilog?

    Verilog — это ЯЗЫК ОПИСАНИЯ АППАРАТНОГО ОБЕСПЕЧЕНИЯ (HDL), который используется для описания цифровой системы, такой как сетевой коммутатор, микропроцессор или память или триггер.

    Verilog был разработан, чтобы упростить процесс и сделать HDL более надежным и гибким. Сегодня Verilog является наиболее популярным HDL, используемым и применяемым в полупроводниковой промышленности.

    HDL был разработан для улучшения процесса проектирования, позволяя инженерам описывать желаемую функциональность аппаратного обеспечения и позволять средствам автоматизации преобразовывать это поведение в реальные аппаратные элементы, такие как комбинационные вентили и последовательная логика.

    Verilog похож на любой другой язык описания оборудования. Это позволяет дизайнерам разрабатывать проекты по методологии «снизу вверх» или «сверху вниз».

    • Проектирование снизу вверх: Традиционный метод проектирования электроники — снизу вверх. Каждая конструкция выполняется на уровне ворот с использованием стандартных ворот. Этот дизайн дает возможность разрабатывать новые структурные, иерархические методы проектирования.
    • Дизайн сверху вниз: Он позволяет проводить раннее тестирование, легко менять различные технологии и структурировать систему, а также предлагает множество других преимуществ.

    Уровни абстракции Verilog

    Verilog поддерживает дизайн на многих уровнях абстракции, например:

    • Поведенческий уровень
    • Уровень передачи регистров
    • Уровень ворот

    Поведенческий уровень

    Поведенческий уровень описывает систему параллельными поведенческими алгоритмами.

    Каждый алгоритм является последовательным, что означает, что он состоит из набора выполняемых инструкций, одна за другой. Основными элементами являются функции, задачи и блоки. Конструктивная реализация проекта не имеет значения.

    Уровень регистрации-переноса

    Проекты, использующие уровень передачи регистров, определяют характеристики схемы, используя операции и передачу данных между регистрами.

    Современное определение RTL-кода: «Любой синтезируемый код называется RTL-кодом».

    Уровень ворот

    Характеристики системы описываются логическими связями и их временными характеристиками на логическом уровне. Все сигналы являются дискретными сигналами. Они могут иметь только определенные логические значения («0», «1», «X», «Z»).

    Используемые операции — предопределенные логические примитивы (базовые вентили). Моделирование на уровне шлюза может быть неподходящей идеей для логического проектирования. Код уровня шлюза генерируется с использованием таких инструментов, как инструменты синтеза, а его список соединений используется для моделирования уровня шлюза и бэкенда.

    История Verilog

    • История Verilog HDL восходит к 1980-м годам, когда компания Gateway Design Automation разработала логический симулятор Verilog-XL и язык описания оборудования.
    • Компания Cadence Design Systems приобрела Gateway в 1989 году, а вместе с ней и права на язык и симулятор. В 1990 году Cadence сделала язык общественным достоянием с намерением сделать его стандартным, непатентованным языком.
    • Verilog HDL теперь поддерживается некоммерческой организацией Accellera, образованной в результате слияния Open Verilog International (OVI) и VHDL International. Перед OVI стояла задача провести язык через процедуру стандартизации IEEE.
    • В декабре 1995 года Verilog HDL стал IEEE Std. 1364-1995. Значительно переработанная версия была опубликована в 2001 г.: IEEE Std. 1364-2001. В 2005 году была произведена дополнительная редакция, но в нее были внесены лишь несколько незначительных изменений.
    • Accellera также разработала новый стандарт SystemVerilog, расширяющий возможности Verilog.
    • SystemVerilog стал стандартом IEEE (1800-2005) в 2005 году.

    Чем полезен Verilog?

    Verilog создает уровень абстракции, который помогает скрыть детали его реализации и технологии.

    Например, конструкция D-триггера потребует знания того, как должны быть расположены транзисторы для достижения FF, запускаемого положительным фронтом, и какое время нарастания, спада и CLK-Q требуется для фиксации значения на флопе. среди многих других технологических деталей.

    Рассеиваемая мощность, синхронизация и способность управлять цепями и другими флопами также потребуют более глубокого понимания физических характеристик транзистора.

    Verilog помогает нам сосредоточиться на поведении и оставить остальное на потом.

    Предпосылки

    Прежде чем изучать Verilog, вы должны иметь базовые знания языка проектирования СБИС.

    • Вы должны знать, как работают логические диаграммы, булева алгебра, логические вентили, комбинационные и последовательные схемы, операторы и т. д.
    • Вы должны знать о концепциях статического анализа синхронизации, таких как время установки, время удержания, критический путь, ограничения на тактовую частоту и т. д.
    • Основы ASIC и FPGA и концепции синтеза и моделирования.

    Аудитория

    Наше руководство по Verilog предназначено для начинающих, инженеров-проектировщиков и инженеров по верификации, которые хотят научиться моделировать цифровые системы в Verilog HDL для автоматического синтеза. К концу этого руководства вы приобретете промежуточный уровень знаний в Verilog.

    Проблема

    Уверяем вас, что у вас не возникнет проблем с Verilog Tutorial. Но если есть какая-то ошибка, пожалуйста, задайте вопрос в контактной форме.


    Next TopicLexical Tokens

    следующий →

    Введение в Verilog-HDL Часть 1

    by Samprita Ari Теги Учебные пособия, VHDL, Категории СБИС СБИС

    Последнее обновление: 29 июня 2022 г.

    Эта статья является серией Verilog – HDL и содержит обсуждение VHDL. Цель этой серии — предоставить простые и практические примеры, понятные каждому. Это введение в Verilog — HDL, часть 1.

    Содержание

    Введение в Verilog — HDL Часть 1

    Что такое Verilog HDL?

    Язык описания аппаратного обеспечения Verilog (Verilog HDL) — это язык, описывающий поведение электронных схем, чаще всего цифровых схем. Verilog HDL соответствует стандартам IEEE. Вы можете задействовать Verilog HDL для планирования и разработки тестовых элементов, чтобы проверить поведение аппаратного обеспечения. Инструменты синтеза, такие как Quartus® Prime Integrated Synthesis, инструменты моделирования, такие как Active-HDL/Riviera-PRO, и инструменты формальной проверки используют Verilog HDL в качестве формата ввода.

    История

    Verilog был изобретен Прабху Гоэлем, Филом Мурби, Чи-Лай Хуангом и Дугласом Вармке примерно в середине 1984 года. Первоначально Verilog планировалось просто отображать и разрешать моделирование, что означает, что компьютеризированная комбинация подмножеств языка с реально возможными проектами была создана после того, как язык получил широкое распространение.

    Verilog-95

    Примерно в 1990 году компания Cadence Design System, основной частью которой в то время была система тестирования тонкопленочных процессов, решила приобрести Gateway Automation System. Наряду с другими продуктами Gateway, Cadence вскоре стала владельцем языка Verilog и продолжала 9 лет.0140, демонстрирующий Verilog как язык и тестовую систему. Каденс считала, что если предположить, что Verilog останется закрытым языком, то проблемы нормализации в конечном итоге заставят бизнес перейти на VHDL. Таким образом, Cadence координировала Open Verilog International (OVI) и в 1991 году предоставила ему документацию для языка описания оборудования Verilog. Это был случай, который «открыл» язык. Впоследствии Verilog был представлен в IEEE и стал стандартом IEEE 1364-1995, обычно именуемым Verilog-9.5.

    Verilog 2001

    Другой фреймворк Verilog был отправлен из IEEE, который предлагал обновления для фреймворка. называется IEEE 1364-2001, его также называют Verilog-2001. Большая часть обновлений включала помощь для коэффициентов и отмеченных цепей, оптимизацию процесса отмеченной задачи, которая помогла в работе над общим исполнением. Кроме того, была команда на запуск объяснения, что еще больше развило взаимодействие в корне.

    Verilog 2005

    Verilog 2005 (Стандарт IEEE 1364-2005) содержит небольшие поправки, пояснения к спецификациям и несколько новых языковых особенностей.

    SystemVerilog

    Начиная с Verilog-2005, обновление, которое было сделано с новыми возможностями и функциями, помогающими в планировании проектирования и проверки: называется SystemVerilog. SystemVerilog-2009 сочетает в себе рекомендации Verilog и SystemVerilog, текущая версия известна как IEEE 1800-2017.

    Это самый популярный язык для проектирования и проверки микросхем.

    Разница между Verilog и VHDL (Verilog и VHDL)

    .

    Добавить комментарий

    Ваш адрес email не будет опубликован. Обязательные поля помечены *

    VHDL ВЕРИЛОГ
    VHDL — это HDL, используемый в автоматизации проектирования для определения цифровых и смешанных систем Verilog — это язык описания оборудования, используемый для моделирования электронных систем