8-900-374-94-44
[email protected]
Slide Image
Меню

Verilog для начинающих: Введение в Verilog, Первый урок.

Verilog — Метка — PVSM.RU

Зачем язык Verilog программисту микроконтроллеров

2014-02-13 в 13:24, , рубрики: testbench, Verilog, Железо, микроконтроллер, Программинг микроконтроллеров, тестирование, метки: testbench, Verilog, микроконтроллер, тестирование

Несколько раз начинал писать эту статью и бросал. Бросал потому, что тема, как мне кажется, несколько спорная. Изобретенный мною велосипед может кому-то показаться смешным и нелепым и вообще не совсем корректным. Тем не менее…

Вообще, мне кажется, что в области разработки электронных устройств существует как бы несколько мало пересекающихся миров. Например, существует разработка устройств на базе микроконтроллеров и параллельно существует разработка устройств на базе ПЛИС. Принципы работы этим микросхем принципиально отличаются и точно так же отличаются принципы и методы разработки, используемые языки программирования и отладки.

Конечно, выбор элементной базы сильно зависит от поставленной задачи. Однако и так понятно, что эти миры, мир микроконтроллеров и мир ПЛИСов почти не пересекаются. Может быть на стыке технологий что-то есть?Читать полностью »

Генерация видео математической функцией на ПЛИС

2013-11-25 в 20:37, , рубрики: Verilog, Анимация и 3D графика, Демосцена, ПЛИС, схемотехника, хобби, Электроника для начинающих, метки: demo, Verilog, ПЛИС, схемотехника, хобби

Здравствуйте!
В этой статье я хочу рассказать о своём опыте изучения разработки на ПЛИС и познакомить со своим проектом — генератором видео по формуле.

Цель поста

Я программист С++, профессионально занимаюсь разработкой и сопровождением системного софта. Около двух лет тому назад у меня возникло желание разнообразить свой опыт за счёт изучения схемотехники, точнее программирования ПЛИС с использованием языка Verilog.

Далее я расскажу, что из этого вышло.

Цель работы

Направить вычислительную мощь ПЛИС на нужды генеративного искусства: порождать в реальном времени потоковое видео по сложной формуле в высоком разрешении, с высоким фрейм-рейтом. В качестве фронтэнда выбран IBNIZ, — язык описания формул для генерации демо, разработанный камрадом viznut в качестве виртуальной платформы для демосцены. Ранее я реализовывал на ПЛИС, на платформе «Марсоход», другую его находку.
Читать полностью »

Коротенькое сравнение VHDL и Verilog в помощь начинающим знакомство с ПЛИС

2013-11-06
в 7:48, , рубрики: fpga, SystemVerilog, Verilog, vhdl, ПЛИС, Электроника для начинающих, метки: fpga, SystemVerilog, Verilog, vhdl, ПЛИС

Исторически так сложилось что ПЛИС я начал изучать только на новой работе.
Это были серии ПЛИС фирмы Altera.

Старшие коллеги на перебой рекомендовали как AHDL так и VHDL для программирования этих микросхем.
В итоге я остановился на языке VHDL, поскольку он является языком высокого уровня, в отличии от ADHL.
Хоть и листинг у последнего был куда приятнее.

И я приступил к изучению всех хитростей и ограничений языка VHDL.
В итоге сошелся на мысли что конструкции языка просто ужасны, а ограничения избыточны для проектирования аппаратуры.

Приведу пример листинга из статьи Делаем таймер или первый проект на ПЛИС

Читать полностью »

Проект open source GPU на Kickstarter

2013-10-13 в 16:27, , рубрики: gpu, Kickstarter, open source, Verilog, Железо, краудфандинг, метки: gpu, Kickstarter, Verilog


www.kickstarter.com/projects/725991125/open-source-graphics-processor-gpu
На Kickstarter несколько дней назад стартовал любопытный проект. Его цель — создать открытый [тут всякий бред маркетологов] и современный графический процессор. Под современностью автор подразумевает совместимость с OpenGL и D3D. Упоминается реализация всего этого на языке Verilog, т.е. подразумевается, что готовая плата будет выполнена на основе FPGA. С одной стороны, это позволит быстро взлететь и в перспективе перейти на заказные чипы, с другой — до этого перехода соотношение цена/качество может быть не на высоте. Хотя душу владельца такой карты будет греть открытость исходников. Читать полностью »

NES, реализация на FPGA

2013-07-08 в 7:58, , рубрики: Altera, dendy, diy или сделай сам, fpga, Nes, Verilog, денди, игровая консоль, Игровые приставки, ностальгия, ПЛИС, метки: Altera, dendy, fpga, Nes, Verilog, денди, игровая консоль, ностальгия, ПЛИС

Добрый день!

Я хочу рассказать о проекте игровой консоли Nintendo Entertainment System (NES) в реализации на FPGA. На постсоветском пространстве она известна как Dendy.


Желающих посмотреть видео и поностальгировать прошу под кат.

Читать полностью »

Исследование процессора и его функциональная симуляция

2013-02-01 в 23:16, , рубрики: Altera, Quartus II, testbench, Verilog, Железо, ПЛИС, Процессоры, Электроника для начинающих, метки: Altera, Quartus II, testbench, Verilog, АРМ, ПЛИС

Наверное каждый программист ASM / C / C++ когда-то задумывался о написании своей собственной операционной системы.

И наверное каждый разработчик Verilog / VHDL для ПЛИС когда нибудь задумывался о создании своего процессора.

Собственно реализовать более-менее традиционный процессор на сегодняшний день кажется не очень и большая проблема. Принципы работы процессоров описаны во многих книгах и статьях.

Кроме того, существует много процессоров с открытой архитектурой вроде openRISC или openSPARC и многие другие. Их вполне можно рассмотреть перед тем как изобретать свой велосипед.

Я решил поизучать ARM совместимый процессор AMBER. Его исходники есть на http://opencores.org.Читать полностью »

Делаем IBM PC на FPGA

2012-06-20 в 10:57, , рубрики: diy или сделай сам, fpga, Verilog, старое железо, Электроника для начинающих, метки: fpga, Verilog

Думаю многие, кто работал с FPGA думали — а не сделать ли свой компьютер полностью на ней, с x86 процессором, периферией и прочим? 8-и битные компьютеры часто реализовывали в FPGA, но вот целый PC…

Для успешной работы помимо x86 процессора нужен еще BIOS со всеми реализованными прерываниями (включая доступ к «диску» на SD карте), BIOS VGA-совместимой видеокарты, вся периферия, контроллер памяти, таймер и многое другое.

Задача намного сложнее чем кажется на первый взгляд, но тем не менее, в проекте ZetCPU она решена.

Из ограничений — работает только 16-и битный режим на 12.5Mhz, без математического сопроцессора.Читать полностью »

Машинка, управляемая из браузера

2012-06-18 в 12:11, , рубрики: Altera, android, diy или сделай сам, python, sl4a, Verilog, ПЛИС, Электроника для начинающих, метки: Altera, android, python, sl4a, Verilog, ПЛИС

На хабре уже было несколько статей о машинках, управляемых через WiFi и с web камерой на борту.

Вот одна статья: «Радиоуправляемая Wi-Fi машинка с камерой» А вот еще одна статья по теме: «Гонка тарантасов, управляемых через Интернет»

Я хочу предложить новый(?) способ создания подобных машинок.Читать полностью »

Реализация на Verilog цифрового БИХ-фильтра

2012-06-13 в 5:59, , рубрики: fpga, Verilog, Алгоритмы, Программирование, разработка, метки: fpga, Verilog

Приветствую Хабр. Не так давно здесь уже появлялись статьи на эту тему Verilog. Цифровой фильтр на RAM и Построение цифрового фильтра с конечной импульсной характеристикой. Хочу и я внести свой скромный вклад и представить вашему вниманию реализацию цифрового БИХ-фильтра на Verilog.
Читать полностью »

Электроника для начинающих / Проектирование синхронных схем. Быстрый старт с Verilog HDL

2012-02-07 в 19:41, , рубрики: fpga, Verilog, схемотехника, метки: fpga, Verilog, схемотехника


На просторах рунета можно найти достаточно много статей с введением в Verilog HDL. Все они описывают синтаксис и семантику языка, но, к сожалению, не раскрывают основных парадигм, используемых при проектировании цифровых схем. Представьте себе, что вам объясняют синтаксис языка Java, но не рассказывают ничего про объектно-ориентированное проектирование. Если вы знакомы с ООП, то такого введения будет достаточно, но если вы знаете только Си, то писать скорей всего будете “по-старому”, создавая огромные классы со сложными методами.
Примерно так происходит с программистами, изучающими цифровую схемотехнику и языки описания аппаратуры.Читать полностью »

«Язык Verilog в проектировании встраиваемых систем на FPGA», Соловьев В. В. — Торговый Дом «Библио-Глобус»

1219 ₽ в наличии

 Расположение в магазине:
На  1-м уровне
Зал: 07 Секция: 08 Шкаф: 88 Полка: 03

Оформить заказв интернет-магазине

Издательство: Горячая линия — Телеком
Год издания: 2023
ISBN: 978-5-9912-0844-4

Книга является введением в практическое проектирование на языке Verilog встраиваемых систем на программируемых логических интегральных схемах (ПЛИС – FPGA). Дано понятие встраиваемой системы, рассмотрены область использования и функции встраиваемых систем. Представлены подмножество языка описания аппаратуры Verilog для синтеза проектов и введение в систему проектирования Quartus. Рассмотрены вопросы проектирования на FPGA комбинационных схем, а также различных стандартных функциональных узлов комбинационного и последовательностного типа, используемых во встраиваемых системах. Описаны методы проектирования сумматоров и умножителей. Рассмотрены вопросы проектирования на FPGA конечных автоматов, структурные модели, стили описания на языке Verilog, способы кодирования внутренних состояний, методы синтеза. Для каждого функционального узла приведены рекомендации по наиболее эффективному использованию рассматриваемых методов проектирования. Для специалистов в области разработки встраиваемых систем, научных работников, аспирантов, преподавателей, будет полезна студентам соответствующих специальностей.

Дополнительная информация:
Артикул: 3251231
ISBN: 978-5-9912-0844-4
Тип переплета: мягкий
Тираж: 500
Название: Язык Verilog в проектировании встраиваемых систем на FPGA
Автор: В. В. Соловьев
Место издания: Москва
Издатель: Горячая линия — Телеком
Дата издания: 2023
Количество страниц: 440
Высота, см.: 24
Ширина, см: 16,5
Толщина, см: 2
Вес в граммах: 570

Учебное пособие Verilog

   

   

Этот учебник Verilog был начат очень давно. Каждый раз, когда я обновляю свою веб-страницу, я обязательно добавляю что-то новое в раздел учебных пособий Verilog. Если вы были частым посетителем, вы должны были заметить, как улучшились эти обучающие страницы. Я надеюсь, что когда-нибудь этот учебник Verilog станет справочником для всех инженеров. Конечно, новые учащиеся всегда найдут этот учебник полезным. Все примеры были смоделированы с помощью симулятора Icarus Verilog. В настоящее время этот сайт получает более 1 миллион посещений каждый месяц.

   

Особая благодарность Paolo Franchetti за исправление грамматических и орфографических ошибок в учебнике Verilog.

   

Важно: Это руководство лучше всего просматривать в веб-браузере Firefox, и оно может выглядеть не очень хорошо в Internet Explorer.

   

   

   

  Введение
   

  Verilog за один день
   

  История Verilog
   

  Дизайн и инструментарий
   

  Моя первая программа на Verilog
   

  Синтаксис и семантика Verilog HDL
   

  Моделирование уровня ворот
   

  Определяемые пользователем примитивы
   

  Операторы Verilog
   

  Моделирование поведения Verilog
   

  Процедурный контроль времени
   

  Задача и функции
   

  Системная задача и функция
   

  Искусство написания тестовых стендов
   

  Моделирование памяти и FSM
   

  Модули с параметрами
   

  Учебное пособие по синтезу Verilog
   

  Учебное пособие Verilog PLI
   

  Что нового в Verilog 2001
   

  Утверждения в Verilog
   

  Директивы компилятора
   

  Краткий справочник Verilog
   

   

   

  

Copyright 1998-2014

Дипак Кумар Тала — Все права защищены

У вас есть комментарий? напишите мне по адресу: deepak@asic-world. com

Verilog, руководство для начинающих по формальной верификации и Verilator

Если вы заинтересованы в изучении Verilog, существует множество руководств. В сети. Учебник Asic-world пожалуй, самое полное интерактивное руководство по Verilog, которое я знаю. У Nandland также есть исключительное руководство для начинающих. Веб-сайт FPGA 4 Fun на самом деле не начинается с голые основы, хотя в нем обсуждается, как создать несколько основных периферийных устройств. Однако ни в одном из этих руководств не объединены все инструменты. нужно сделать дизайн в одном месте.

Студент цифрового дизайна должен быть немедленно представлен не только языку HDL, но и средствам, необходимым для отладки его кода. Сюда входит не только Verilog (или SystemVerilog), но и симулятор (мы будем использовать Verilator, дополненный иногда с ncurses), дизайн волновой формы (волновой дром или даже tikztiming), отображение сигнала (gtkwave) и (мой любимый) Formal Проверка с использованием СимбиЙосис.

Таким образом, это руководство Verilog для начинающих пытается восполнить некоторые недостающие осколки в этой пустоте. Моя цель состоит в том, чтобы научить новичка знать C и немного C++, вплоть до последовательного порта пример с использованием приемника, передатчика и FIFO.

Я также надеюсь, что это руководство будет достаточно общим для аппаратного обеспечения. Аппаратное обеспечение определенные темы будут помещены в виде дополнительных глав между главами урока.

Уроки включают:

  1. Предисловие
  2. Провода и комбинаторная логика
    • Пример кода Thruwire [TGZ, ZIP]
    • Пример кода
    • Maskbus [TGZ, ZIP]
  3. Регистры и блинки
    • Пример кода Blinky [TGZ, ZIP]
    • Код примера PPS-I и PPS-II [TGZ, ZIP]
    • Код примера диммера [TGZ, ZIP]
  4. Конечные автоматы
    • Пример светодиодного ходунка [TGZ, ZIP]
  5. Создание раба из поперечных рычагов
    • Светодиодная прогулка по запросу пример [TGZ, ZIP]
  6. Сборка hello world с использованием передатчика последовательного порта
    • Привет, мир [TGZ, ZIP]
  7. Передача 32-битных данных через последовательный порт
    • TX-данные [TGZ, ZIP]
  8. Кнопки: синхронизаторы 2FF и устранение дребезга
    • Прыгающий [TGZ, ZIP]
  9. Использование ОЗУ блока FPGA
    • Память-TX [TGZ, ZIP]
  10. Создание приемника последовательного порта
    • UARTRX [TGZ, ZIP]
  11. Создание FIFO для нашего последовательного порта
    • ФИФО [ТГЗ, ЗИП]

На этом базовое руководство для начинающих завершено.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *