8-900-374-94-44
[email protected]
Slide Image
МСню

Vhdl язык: Π½Π°Ρ‡Π°Π»ΠΎ Ρ€Π°Π±ΠΎΡ‚Ρ‹ с VHDL для проСктирования Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… схСм

Π‘ΠΎΠ΄Π΅Ρ€ΠΆΠ°Π½ΠΈΠ΅

VHDL: язык программирования, Π΅Π³ΠΎ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹, основы ΠΈ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ

VHDL, ΠΊΠ°ΠΊ язык программирования, ΡƒΠ²ΠΈΠ΄Π΅Π» свСт Π² 1983 Π³ΠΎΠ΄Ρƒ. Π•Π³ΠΎ появлСниС ΠΈΠ½ΠΈΡ†ΠΈΠΈΡ€ΠΎΠ²Π°Π»ΠΎ ΠœΠΈΠ½ΠΈΡΡ‚Π΅Ρ€ΡΡ‚Π²ΠΎ ΠžΠ±ΠΎΡ€ΠΎΠ½Ρ‹ БША. Он Π±Ρ‹Π» Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Π½ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Ρ‡ΠΈΠΊΠ°ΠΌΠΈ ΠΊΠΎΠΌΠΏΠ°Π½ΠΈΠΈ IBM ΠΈ Texas Instruments. Он ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ для написания логичСских Ρ†Π΅ΠΏΠΎΡ‡Π΅ΠΊ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… этапов производства элСктронных систСм Ρ€Π°Π·Π½ΠΎΠ³ΠΎ уровня: ΠΎΡ‚ микросхСм ΠΈ Π΄ΠΎ ΠΎΠ±ΡŠΠ΅ΠΌΠ½Ρ‹Ρ… Π²Ρ‹Ρ‡ΠΈΡΠ»ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… систСм. На сСгодняшний дСнь, VHDL являСтся основным инструмСнтом ΠΏΡ€ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ соврСмСнных Π²Ρ‹Ρ‡ΠΈΡΠ»ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… систСм.

VHDL Π²Ρ…ΠΎΠ΄ΠΈΡ‚ Π² Π³Ρ€ΡƒΠΏΠΏΡƒ языков, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ для описания Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹Ρ… устройств. Об этом говорят Π±ΡƒΠΊΠ²Ρ‹ HDL β€” Hardware Description Language. Π‘ΡƒΠΊΠ²Π° Β«VΒ» ΠΏΠΎΠΊΠ°Π·Ρ‹Π²Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ язык относится ΠΊ Π΄Ρ€ΡƒΠ³ΠΎΠΉ Π³Ρ€ΡƒΠΏΠΏΠ΅ языков β€” VHSIC(Very-High-Speed-Integrtion-Circit).

Как ΠΈ любой язык программирования, VHDL основываСтся Π½Π° ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹Ρ… понятиях. НапримСр:

  1. Β Π˜Π½Ρ‚Π΅Ρ€Ρ„Π΅ΠΉΡ β€” это описаниС устройства со всСми Π²Ρ…ΠΎΠ΄Π°ΠΌΠΈ, Π²Ρ‹Ρ…ΠΎΠ΄Π°ΠΌΠΈ ΠΈ способами ΠΊΠΎΠΌΠΌΡƒΠ½ΠΈΠΊΠ°Ρ†ΠΈΠΈ с ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡΠΌΠΈ.

  2.  АрхитСктура β€” это описаниС Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅ΠΉ структуры устройства, которая влияСт Π½Π° Π΅Π³ΠΎ ΠΎΠΊΠΎΠ½Ρ‡Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠ΅ ΠΏΡ€ΠΈ взаимодСйствии с ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡΠΌΠΈ.

  3.  Бинтаксис ΠΈΒ  ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ VHDL. ΠšΠ°ΠΆΠ΄Ρ‹ΠΉ язык программирования ΠΎΠ±Π»Π°Π΄Π°Π΅Ρ‚ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹ΠΌ Π½Π°Π±ΠΎΡ€ΠΎΠΌ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ², VHDL Π² Ρ‚ΠΎΠΌ числС. Однако ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ VHDL особСнныС. Они Π±Ρ‹Π²Π°ΡŽΡ‚ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ ΠΈ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ. ΠŸΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ Π² Ρ‚Π΅Ρ… случаях, ΠΊΠΎΠ³Π΄Π° Π² Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠΌ устройствС Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΎΡ‚Ρ€Π°Π·ΠΈΡ‚ΡŒ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ процСссы. Π’ΠΎ Π΅ΡΡ‚ΡŒ Ρ‚Π°ΠΊΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ ΠΎΠΏΠΈΡΡ‹Π²Π°ΡŽΡ‚ ΠΊΠ°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ Π΄ΠΎΠ»ΠΆΠ½Ρ‹ вСсти сСбя микросхСмы ΠΏΡ€ΠΈ ΠΈΡ… ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Π΅, ΠΊΠΎΠ³Π΄Π° ΠΊΠ°ΠΆΠ΄ΠΎΠΉ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½ΠΎΠΉ микросхСмС ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΉ процСсс для ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ. ΠŸΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΡƒΡŽ Ρ€Π°Π±ΠΎΡ‚Ρƒ микросхСм.

  4. Β Π‘ΠΈΠ³Π½Π°Π» β€” это Ρ‚ΠΎ, Ρ‡Ρ‚ΠΎ связываСт ΠΌΠ΅ΠΆΠ΄Ρƒ собой ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ процСссы Π² микросхСмах. Π‘ΠΈΠ³Π½Π°Π»Ρ‹Β  Π½Π΅ зависят ΠΎΡ‚ процСссов Π² микросхСмах.

    ΠŸΡ€ΠΎΡ†Π΅ΡΡΡ‹ ΠΌΠΎΠ³ΡƒΡ‚ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΡΡ‡ΠΈΡ‚Ρ‹Π²Π°Ρ‚ΡŒ сигналы ΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π°Π²Π°Ρ‚ΡŒ Π² Π½ΠΈΡ… ΠΊΠ°ΠΊΠΈΠ΅-Ρ‚ΠΎ значСния. Π‘ΠΈΠ³Π½Π°Π»Ρ‹ ΠΏΠ΅Ρ€Π΅Π΄Π°ΡŽΡ‚ значСния ΠΌΠ΅ΠΆΠ΄Ρƒ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ процСссами, поэтому ΠΏΠΎ сути ΡΠ²Π»ΡΡŽΡ‚ΡΡ срСдствами для ΠΊΠΎΠΌΠΌΡƒΠ½ΠΈΠΊΠ°Ρ†ΠΈΠΈ.

  5. Β ΠŸΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Π΅ β€” это инструмСнты, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ Π²Π½ΡƒΡ‚Ρ€ΠΈ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½ΠΎΠ³ΠΎ процСсса для Π²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠ³ΠΎ хранСния ΠΊΠ°ΠΊΠΎΠΉ-Π»ΠΈΠ±ΠΎ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ. Они Π½Π΅ Ρ‚Ρ€Π°Π½ΡΠ»ΠΈΡ€ΡƒΡŽΡ‚ΡΡ ΠΌΠ΅ΠΆΠ΄Ρƒ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ процСссами β€” это Π½ΡƒΠΆΠ½ΠΎ Π±Ρ€Π°Ρ‚ΡŒ Π²ΠΎ Π²Π½ΠΈΠΌΠ°Π½ΠΈΠ΅ ΠΏΡ€ΠΈ Ρ€Π°Π±ΠΎΡ‚Π΅ с ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Π°ΠΌΠΈ Π² VHDL.

  6.  Атрибуты β€” это нСбольшиС  Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€Π½Ρ‹Π΅ значСния, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΏΡ€ΠΈΡΠ²Π°ΠΈΠ²Π°ΡŽΡ‚ ΠΊΠ°ΠΊΠΈΠΌ-Π»ΠΈΠ±ΠΎ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π°ΠΌ языка.

  7. Β Π’ΠΈΠΏ β€” это Π³Ρ€ΡƒΠΏΠΏΠ° Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ, которая ΠΎΠ±Π»Π°Π΄Π°Π΅Ρ‚ ΠΎΠ±Ρ‰ΠΈΠΌΠΈ характСристиками. Π’ΠΈΠΏ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π° опрСдСляСт, ΠΊΠ°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ Π½Π° Π½Π΅Π³ΠΎ ΠΌΠΎΠ³ΡƒΡ‚ Π²ΠΎΠ·Π΄Π΅ΠΉΡΡ‚Π²ΠΎΠ²Π°Ρ‚ΡŒ сигналы ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ VHDL, Π° Ρ‚Π°ΠΊΠΆΠ΅ опрСдСляСт ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠ΅ ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… Π·Π°Π΄Π°Π½Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ°.

  8. Β Π‘ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ β€” это комплСксныС структуры Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ, ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ…, Ρ‚ΠΈΠΏΠΎΠ², ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ΠΎΠ² ΠΈ Π΄Ρ€. Π‘ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ ΠΊ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Ρƒ, ΠΊΠΎΠ³Π΄Π° Π΅Π³ΠΎ Ρ€Π°Π±ΠΎΡ‚Ρƒ Π½ΡƒΠΆΠ½ΠΎ Π½Π°ΠΏΡ€Π°Π²ΠΈΡ‚ΡŒ Π² ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΠΎΠ΅ русло.

  9. Β Π˜Π΄Π΅Π½Ρ‚ΠΈΡ„ΠΈΠΊΠ°Ρ‚ΠΎΡ€Ρ‹ β€” это слова, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π·Π°Ρ€Π΅Π·Π΅Ρ€Π²ΠΈΡ€ΠΎΠ²Π°Π½Ρ‹ самим языком ΠΈ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ Π²Π½ΡƒΡ‚Ρ€ΠΈ Π½Π΅Π³ΠΎ Π² качСствС ΠΊΠ»ΡŽΡ‡Π΅Π²Ρ‹Ρ… слов, ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‰ΠΈΡ… ΠΊΠ°ΠΊΠΎΠ΅-Ρ‚ΠΎ дСйствиС. Они ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²Π»ΡΡŽΡ‚ собой ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ символов английского Π°Π»Ρ„Π°Π²ΠΈΡ‚Π° ΠΈ Ρ†ΠΈΡ„Ρ€.

ΠžΡΠ½ΠΎΠ²Ρ‹ языка VHDL: ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Ρ‹

ΠžΠ±ΡŠΠ΅ΠΊΡ‚ β€” это мСсто, Π³Π΄Π΅ сохраняСтся информация ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ°. Π§Ρ‚ΠΎΠ±Ρ‹ ΡΠΎΠ·Π΄Π°Ρ‚ΡŒ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ Π² VHDL, Π΅Π³ΠΎ ΠΎΠ±ΡΠ·Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ Π½ΡƒΠΆΠ½ΠΎ ΠΎΠ±ΡŠΡΠ²ΠΈΡ‚ΡŒ с ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹ΠΌ Ρ‚ΠΈΠΏΠΎΠΌ Π΄Π°Π½Π½Ρ‹Ρ…. ΠŸΡ€ΠΎΠΈΡΡ…ΠΎΠ΄ΠΈΡ‚ это Ρ‚Π°ΠΊ:

variableCOUNT: INTEGER;

Β 

Π’ этом случаС Π±ΡƒΠ΄Π΅Ρ‚ создан ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ с Π½Π°Π·Π²Π°Π½ΠΈΠ΅ΠΌ Β«COUNTΒ», Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΌ Π±ΡƒΠ΄ΡƒΡ‚ Ρ…Ρ€Π°Π½ΠΈΡ‚ΡŒΡΡ Ρ†Π΅Π»Ρ‹Π΅ числа Β«INTEGERΒ». Класс ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π° β€” Β«variableΒ». ΠšΡΡ‚Π°Ρ‚ΠΈ, ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Ρ‹Β  VHDL ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ ΠΎΠ±ΡŠΡΠ²Π»Π΅Π½Ρ‹ Π² Ρ‚Ρ€Π΅Ρ… классах:

  1. Класс Β«constantΒ». Π­Ρ‚ΠΎΡ‚ класс присваиваСтся ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Ρƒ ΠΎΠ΄ΠΈΠ½ Ρ€Π°Π· ΠΈ Π² процСссС Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π½Π°Π΄ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ΠΎΠΌ Π½Π΅ ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ ΠΈΠ·ΠΌΠ΅Π½Π΅Π½. Он Ρ…Ρ€Π°Π½ΠΈΡ‚ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½ΠΎΠ΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ ΠΎΠ΄Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ° Π΄Π°Π½Π½Ρ‹Ρ….

  2. Класс Β«variableΒ». Π­Ρ‚ΠΎΡ‚ класс Ρ‚Π°ΠΊΠΆΠ΅ Ρ…Ρ€Π°Π½ΠΈΡ‚ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½ΠΎΠ΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ ΠΎΠ΄Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ° Π΄Π°Π½Π½Ρ‹Ρ…, Π½ΠΎ ΠΏΡ€ΠΈ этом Π² процСссС Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π½Π°Π΄ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ΠΎΠΌ Ρ‚ΠΈΠΏ сохраняСмых Π΄Π°Π½Π½Ρ‹Ρ… ΠΌΠΎΠΆΠ΅Ρ‚ ΠΈΠ·ΠΌΠ΅Π½ΡΡ‚ΡŒΡΡ. По сути, Ρ‚Π°ΠΊΠΎΠΉ класс сигнализируСт, Ρ‡Ρ‚ΠΎ ΠΏΠ΅Ρ€Π΅Π΄ Π½Π°ΠΌΠΈ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚-пСрСмСнная.

  3. Класс Β«signalΒ». Π­Ρ‚ΠΎΡ‚ класс сигнализируСт, Ρ‡Ρ‚ΠΎ ΠΏΠ΅Ρ€Π΅Π΄ Π½Π°ΠΌΠΈ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚-сигнал, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ связываСт нСсколько ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Ρ… процСссов. Π­Ρ‚ΠΈΠΌ ΠΎΠ½ ΠΈ отличаСтся ΠΎΡ‚ ΠΏΠ΅Ρ€Π²Ρ‹Ρ… Π΄Π²ΡƒΡ… классов. ΠŸΠ΅Ρ€Π²Ρ‹Π΅ Π΄Π²Π° класса ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΡƒΡŽΡ‚ ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠ΅ микросхСм, Π° этот класс Π½Π΅ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΡƒΠ΅Ρ‚ повСдСния, Π° соСдиняСт Ρ€Π°Π·Π½Ρ‹Π΅ микросхСмы.

Π―Π·Ρ‹ΠΊ программирования VHDL: Ρ‚ΠΈΠΏΡ‹ Π΄Π°Π½Π½Ρ‹Ρ…

Когда создаСтся ΠΊΠ°ΠΊΠΎΠΉ-Π»ΠΈΠ±ΠΎ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ Π² VHDL, Ρ‚ΠΎΠ³Π΄Π° Π΅ΠΌΡƒ Π½ΡƒΠΆΠ½ΠΎ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡ‚ΡŒ ΠΊΠ°ΠΊΠΎΠΉ-Ρ‚ΠΎ Ρ‚ΠΈΠΏ Π΄Π°Π½Π½Ρ‹Ρ…. ΠšΠ°ΠΆΠ΄Ρ‹ΠΉ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΉ Ρ‚ΠΈΠΏ Π΄Π°Π½Π½Ρ‹Ρ… содСрТит Π² сСбС ΠΏΠ΅Ρ€Π΅Ρ‡Π΅Π½ΡŒ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹Ρ… ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΉ, Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ ΠΈ свойств, свойствСнных этому Ρ‚ΠΈΠΏΡƒ.

ВсС Ρ‚ΠΈΠΏΡ‹ Π΄Π°Π½Π½Ρ‹Ρ… Π² VHDL дСлятся Π½Π° ΠΊΠ°Ρ‚Π΅Π³ΠΎΡ€ΠΈΠΈ:

  1.  БкалярныС Ρ‚ΠΈΠΏΡ‹. Они, Π² свою ΠΎΡ‡Π΅Ρ€Π΅Π΄ΡŒ, дСлятся Π½Π° Π΄Ρ€ΡƒΠ³ΠΈΠ΅ ΠΏΠΎΠ΄Ρ‚ΠΈΠΏΡ‹: enumeration, integer, physical, floatingpoint. ΠŸΠΎΠ΄Ρ‚ΠΈΠΏ Β«enumerationΒ» характСризуСтся ΠΏΠ΅Ρ€Π΅Ρ‡Π½Π΅ΠΌ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΠΈΠ» ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒ. Π’ Ρ€ΠΎΠ»ΠΈ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ ΠΌΠΎΠ³ΡƒΡ‚ Π²Ρ‹ΡΡ‚ΡƒΠΏΠ°Ρ‚ΡŒ символы, числа, слова ΠΈ Π΄Ρ€. ΠšΠ°ΠΆΠ΄ΠΎΠΌΡƒ Π·Π½Π°Ρ‡Π΅Π½ΠΈΡŽ этого Ρ‚ΠΈΠΏΠ° Π·Π°Π΄Π°Π½ числовой ΠΈΠ΄Π΅Π½Ρ‚ΠΈΡ„ΠΈΠΊΠ°Ρ‚ΠΎΡ€. Π˜Π΄Π΅Π½Ρ‚ΠΈΡ„ΠΈΠΊΠ°Ρ†ΠΈΡ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ начинаСтся с Β«0Β». ΠŸΠΎΠ΄Ρ‚ΠΈΠΏ Β«integerΒ» Π·Π°Π΄Π°Π΅Ρ‚ Ρ†Π΅Π»ΠΎΠ΅ число. ΠŸΠΎΠ΄Ρ‚ΠΈΠΏ Β«physicalΒ» опрСдСляСт значСния ΠΊΠ°ΠΊΠΈΡ…-Π»ΠΈΠ±ΠΎ физичСских Π²Π΅Π»ΠΈΡ‡ΠΈΠ½, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€: врСмя, расстояниС, Π΄Π°Π²Π»Π΅Π½ΠΈΠ΅, сила Ρ‚ΠΎΠΊΠ°, Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Π° ΠΈ Π΄Ρ€.Β  ΠŸΠΎΠ΄Ρ‚ΠΈΠΏ Β«floatingpointΒ» опрСдСляСт числовыС значСния с Β«ΠΏΠ»Π°Π²Π°ΡŽΡ‰Π΅ΠΉ Ρ‚ΠΎΡ‡ΠΊΠΎΠΉΒ».

  2. Β ΠšΠΎΠΌΠΏΠΎΠ·ΠΈΡ‚Π½Ρ‹Π΅ Ρ‚ΠΈΠΏΡ‹. Π­Ρ‚ΠΎ Ρ‚ΠΈΠΏΡ‹, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠ³ΡƒΡ‚ ΡΠΎΡΡ‚ΠΎΡΡ‚ΡŒ ΠΈΠ· Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… Ρ‚ΠΈΠΏΠΎΠ² Π΄Π°Π½Π½Ρ‹Ρ… Π² составС ΠΎΠ΄Π½ΠΎΠ³ΠΎ.

  3. Β Π’ΠΈΠΏΡ‹ доступа. Π­Ρ‚ΠΈ Ρ‚ΠΈΠΏΡ‹ ΠΎΡ‚ΠΊΡ€Ρ‹Π²Π°ΡŽΡ‚ Π΄ΠΎΡΡ‚ΡƒΠΏΠ½ΠΎΡΡ‚ΡŒ ΠΊ Ρ‚Π΅ΠΌ ΠΈΠ»ΠΈ ΠΈΠ½Ρ‹ΠΌ Π΄Π°Π½Π½Ρ‹ΠΌ Ρ‡Π΅Ρ€Π΅Π· ΠΈΡ… ΡƒΠΊΠ°Π·Π°Ρ‚Π΅Π»ΠΈ.

  4. Β Π€Π°ΠΉΠ»ΠΎΠ²Ρ‹Π΅ Ρ‚ΠΈΠΏΡ‹. Π­Ρ‚ΠΈ Ρ‚ΠΈΠΏΡ‹ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚ Π΄ΠΎΡΡ‚ΡƒΠΏΠ½ΠΎΡΡ‚ΡŒ ΠΊ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π°ΠΌ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ содСрТат ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ Π΄Π°Π½Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ°.

ΠžΡΠ½ΠΎΠ²Ρ‹ языка VHDL: ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ

Π―Π·Ρ‹ΠΊ программирования VHDL нСсСт Π² сСбС мноТСство Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΉ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠΆΠ½ΠΎ провСсти с ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠ΅ΠΉ. НСсколько основных ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΉ:

  1.  ЛогичСскиС ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ. Π’Π°ΠΊΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ проводят 6 ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ²: and, or, nand, nor, xor ΠΈ not.

  2. Β ΠžΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ ΠΎΡ‚Π½ΠΎΡˆΠ΅Π½ΠΈΠΉ. Π’Π°ΠΊΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ проводят ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠΌΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Π°ΠΌΠΈ: Β«=Β», Β«/=Β», Β«<Β», Β«< =Β», Β«>Β», Β«>=Β». Π Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ Ρ‚Π°ΠΊΠΎΠΉ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ Π±ΡƒΠ΄Π΅Ρ‚ Β«falseΒ» ΠΈΠ»ΠΈ Β«trueΒ».

  3. Β ΠœΠ°Ρ‚Π΅ΠΌΠ°Ρ‚ΠΈΡ‡Π΅ΡΠΊΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ. Доступны ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ матСматичСских ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹: Β«*Β», Β«/Β», Β«+Β», Β«-Β», Β«&Β», Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ доступны: ΡƒΠΌΠ½ΠΎΠΆΠ΅Π½ΠΈΠ΅, Π΄Π΅Π»Π΅Π½ΠΈΠ΅, слоТСниС, Π²Ρ‹Ρ‡ΠΈΡ‚Π°Π½ΠΈΠ΅ ΠΈ конкатСнация(объСдинСниС). Π Π°Π·Π½ΠΈΡ†Π° ΠΌΠ΅ΠΆΠ΄Ρƒ слоТСниСм ΠΈ ΠΊΠΎΠ½ΠΊΠ°Ρ‚Π΅Π½Π°Ρ†ΠΈΠ΅ΠΉ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰Π°Ρ: Β«1+2Β» даст Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ Β«3Β», Π° Β«1&2Β» даст Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ Β«12Β».

На этом ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΈ Π² языкС VHDL Π½Π΅ Π·Π°ΠΊΠ°Π½Ρ‡ΠΈΠ²Π°ΡŽΡ‚ΡΡ, Ρ‚Π°ΠΊ ΠΊΠ°ΠΊ ΠΈΡ… ΠΎΡ‡Π΅Π½ΡŒ ΠΌΠ½ΠΎΠ³ΠΎ, Π½ΠΎ основныС ΠΈΠ· Π½ΠΈΡ… ΠΌΡ‹ пСрСчислили.

Π―Π·Ρ‹ΠΊ программирования VHDL: ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹

ΠŸΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ VHDL β€” это:

  1. Β ΠŸΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹. Π­Ρ‚ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Π±Ρ‹Π²Π°ΡŽΡ‚ Π΄Π²ΡƒΡ… Π²ΠΈΠ΄ΠΎΠ²: ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ для присваивания ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠΉ β€” ΠΎΠ½ обозначаСтся Β«:=Β», ΠΈ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ для присваивания сигнала β€” ΠΎΠ½ обозначаСтся Β«< =Β».

  2.  УсловныС ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Β«ifΒ» ΠΈ Β«caseΒ». ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ Β«ifΒ» пСрСчисляСт условия, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΡΡŽΡ‚ΡΡ Π½Π° Β«falseΒ» ΠΈ Β«trueΒ», ΠΊΠΎΠ³Π΄Π° условиС соотвСтствуСт Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎΠΌΡƒ, Ρ‚ΠΎΠ³Π΄Π° запускаСтся ΠΊΠ°ΠΊΠΎΠΉ-Ρ‚ΠΎ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹ΠΉ ΠΊΠΎΠ΄, связанный с этим Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡ‹ΠΌ условиСм. ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ Β«caseΒ» опрСдСляСт нСсколько ΠΊΠ°ΠΊΠΈΡ…-Ρ‚ΠΎ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ, Π³Π΄Π΅ ΠΊΠ°ΠΆΠ΄ΠΎΠΌΡƒ Π·Π½Π°Ρ‡Π΅Π½ΠΈΡŽ Π·Π°Π΄Π°Π½Π° Π²Π΅Ρ‚Π²ΡŒ исполнСния ΠΊΠΎΠ΄Π°. ΠŸΠΎΡ‚ΠΎΠΌ условиС(пСрСмСнная) провСряСтся со значСниями Β«caseΒ». Если Π±ΡƒΠ΄Π΅Ρ‚ Π½Π°ΠΉΠ΄Π΅Π½ΠΎ совпадСниС с ΠΊΠ°ΠΊΠΈΠΌ-Ρ‚ΠΎ Β«caseΒ», Ρ‚ΠΎΠ³Π΄Π° запустится Π΅Π³ΠΎ Π²Π΅Ρ‚Π²ΡŒ ΠΊΠΎΠ΄Π°.

  3. Β ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Ρ†ΠΈΠΊΠ»Π°. Π’Π°ΠΊΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Π½ΡƒΠΆΠ½Ρ‹, Ρ‡Ρ‚ΠΎΠ±Ρ‹ Π·Π°ΠΏΡƒΡΠΊΠ°Ρ‚ΡŒ ΠΈΡ‚Π΅Ρ€Π°Ρ†ΠΈΠΈ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠ°Π½Π΄.

Π―Π·Ρ‹ΠΊ программирования VHDL: ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹

Π’Π°ΠΊΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Π½ΡƒΠΆΠ½Ρ‹ для Ρ‚ΠΎΠ³ΠΎ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ Π·Π°ΠΏΡƒΡΠΊΠ°Ρ‚ΡŒ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎΠ΅ исполнСниС ΠΊΠΎΠΌΠ°Π½Π΄ Π² микросхСмах. К Ρ‚Π°ΠΊΠΈΠΌ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Π°ΠΌ относят:

  1. Β ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ Β«processΒ».

  2. Β ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎΠ³ΠΎ исполнСния ΠΊΠΎΠΌΠ°Π½Π΄.

  3. Β ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚ΠΈΠ·Π°Ρ†ΠΈΠΈ элСмСнтов.

  4. Β ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ Π³Π΅Π½Π΅Ρ€Π°Ρ†ΠΈΠΈ.

  5.  И Π΄Ρ€.

ΠžΡΠ½ΠΎΠ²Ρ‹ языка VHDL: Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π°

АрхитСктура Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€ΠΈΠ·ΡƒΠ΅Ρ‚ Π²ΠΈΠ΄ устройства ΠΈΠ·Π½ΡƒΡ‚Ρ€ΠΈ. Π’ΠΎ Π΅ΡΡ‚ΡŒ Π² зависимости ΠΎΡ‚ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρ‹ устройства ΠΎΠ½ΠΎ Π±ΡƒΠ΄Π΅Ρ‚ Π²Ρ‹Π³Π»ΡΠ΄Π΅Ρ‚ΡŒ ΠΏΠΎ-Ρ€Π°Π·Π½ΠΎΠΌΡƒ. НапримСр, устройство ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ:

  •  прСдставлСно Π² Π²ΠΈΠ΄Π΅ ΠΊΠΎΠΌΠΏΠΎΠ·ΠΈΡ†ΠΈΠΈ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… стандартных ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ;

  •  прСдставлСно Π²Β  Π²ΠΈΠ΄Π΅Β  Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠΎΠ², ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π±ΡƒΠ΄ΡƒΡ‚ Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎ;

  •  прСдставлСно Π² Π²ΠΈΠ΄Π΅ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠΎΠ², ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π±ΡƒΠ΄ΡƒΡ‚ Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ;

  • Β ΠΈ Π΄Ρ€.

Π’Π°ΠΆΠ½ΠΎ ΠΎΡ‚ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ, Ρ‡Ρ‚ΠΎ ΠΏΠΎΠ΄ ΠΎΠ΄Π½ΠΈΠΌ ΠΈ Ρ‚Π΅ΠΌ ΠΆΠ΅ интСрфСйсом ΠΌΠΎΠΆΠ΅Ρ‚ ΡΠΊΡ€Ρ‹Π²Π°Ρ‚ΡŒΡΡ нСсколько Ρ€Π°Π·Π½Ρ‹Ρ… Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€. Π’Ρƒ ΠΈΠ»ΠΈ ΠΈΠ½ΡƒΡŽ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρƒ устройства опрСдСляСт Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Ρ‡ΠΈΠΊ, ΠΏΡ€ΠΈ этом Π²Ρ‹Π±ΠΎΡ€ Π²ΠΎ ΠΌΠ½ΠΎΠ³ΠΎΠΌ зависит ΠΎΡ‚ Ρ‚ΠΎΠ³ΠΎ, ΠΊΠ°ΠΊΠΈΠ΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ Π΄ΠΎΠ»ΠΆΠ½ΠΎ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ Ρ€Π°Π·Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Π΅ΠΌΠΎΠ΅ устройство.

ΠœΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΈ вСрификация Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм Π½Π° языкС VHDL

Π‘ΡƒΠ΄Ρƒ ΠΆΠ΄Π°Ρ‚ΡŒ

Π¦Π΅Π½Π° Π½Π° сайтС ΠΌΠΎΠΆΠ΅Ρ‚ ΠΎΡ‚Π»ΠΈΡ‡Π°Ρ‚ΡŒΡΡ ΠΎΡ‚ Ρ†Π΅Π½Ρ‹ Π² ΠΌΠ°Π³Π°Π·ΠΈΠ½Π°Ρ… сСти. Π’Π½Π΅ΡˆΠ½ΠΈΠΉ Π²ΠΈΠ΄ ΠΊΠ½ΠΈΠ³ΠΈ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΎΡ‚Π»ΠΈΡ‡Π°Ρ‚ΡŒΡΡ ΠΎΡ‚ изобраТСния Π½Π° сайтС.

НСт Π² Π½Π°Π»ΠΈΡ‡ΠΈΠΈ Π² ΠΌΠ°Π³Π°Π·ΠΈΠ½Π°Ρ… сСти

Π¦Π΅Π½Π° Π½Π° сайтС ΠΌΠΎΠΆΠ΅Ρ‚ ΠΎΡ‚Π»ΠΈΡ‡Π°Ρ‚ΡŒΡΡ ΠΎΡ‚ Ρ†Π΅Π½Ρ‹ Π² ΠΌΠ°Π³Π°Π·ΠΈΠ½Π°Ρ… сСти. Π’Π½Π΅ΡˆΠ½ΠΈΠΉ Π²ΠΈΠ΄ ΠΊΠ½ΠΈΠ³ΠΈ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΎΡ‚Π»ΠΈΡ‡Π°Ρ‚ΡŒΡΡ ΠΎΡ‚ изобраТСния Π½Π° сайтС.

Π’ настоящСм ΠΈΠ·Π΄Π°Π½ΠΈΠΈ Ρ€Π°ΡΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°ΡŽΡ‚ΡΡ ΠΏΠΎΠ΄Π³ΠΎΡ‚ΠΎΠ²ΠΊΠ° Ρ‚Π΅ΡΡ‚ΠΈΡ€ΡƒΡŽΡ‰ΠΈΡ… ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ, ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΈ вСрификация VHDL-описаний ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ² Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм, Ρ€Π΅Π°Π»ΠΈΠ·ΡƒΠ΅ΠΌΡ‹Ρ… Π½Π° элСмСнтной Π±Π°Π·Π΅ ΡΠ²Π΅Ρ€Ρ…Π±ΠΎΠ»ΡŒΡˆΠΈΡ… ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… схСм ΠΈ систСм Π½Π° кристаллС. ΠŸΡ€ΠΈΠ²ΠΎΠ΄ΡΡ‚ΡΡ ΠΏΠΎΡˆΠ°Π³ΠΎΠ²Ρ‹Π΅ ΠΌΠ°Ρ€ΡˆΡ€ΡƒΡ‚Ρ‹ выполнСния Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… Π²ΠΈΠ΄ΠΎΠ² модСлирования Π² систСмС Questa Sim. ΠžΠΏΠΈΡΡ‹Π²Π°ΡŽΡ‚ΡΡ стандарт VHDL’2008 языка VHDL ΠΈ ΠΎΡ€ΠΈΠ΅Π½Ρ‚ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹ΠΉ Π½Π° Π²Π΅Ρ€ΠΈΡ„ΠΈΠΊΠ°Ρ†ΠΈΡŽ язык PSL (язык ассСртов) для записи ΡƒΡ‚Π²Π΅Ρ€ΠΆΠ΄Π΅Π½ΠΈΠΉ ΠΎ свойствах повСдСния ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм. Π’ ΠΊΠ½ΠΈΠ³Ρƒ Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΎ большоС число ΠΏΡ€ΠΈΠΌΠ΅Ρ€ΠΎΠ², ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½Ρ‹ для ΡΠΎΠ²Π΅Ρ€ΡˆΠ΅Π½ΡΡ‚Π²ΠΎΠ²Π°Π½ΠΈΡ Π½Π°Π²Ρ‹ΠΊΠΎΠ² практичСской Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² систСмС Questa Sim ΠΏΡ€ΠΈ ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½ΠΎΠΌ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ. .Для студСнтов, магистрантов ΠΈ аспирантов, ΠΈΠ·ΡƒΡ‡Π°ΡŽΡ‰ΠΈΡ… языки VHDL ΠΈ PSL ΠΈ ΠΈΡ… ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ Π² систСмах Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ проСктирования, Π° Ρ‚Π°ΠΊΠΆΠ΅ для спСциалистов, Π·Π°Π½ΠΈΠΌΠ°ΡŽΡ‰ΠΈΡ…ΡΡ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм.

ОписаниС

Π₯арактСристики

Π’ настоящСм ΠΈΠ·Π΄Π°Π½ΠΈΠΈ Ρ€Π°ΡΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°ΡŽΡ‚ΡΡ ΠΏΠΎΠ΄Π³ΠΎΡ‚ΠΎΠ²ΠΊΠ° Ρ‚Π΅ΡΡ‚ΠΈΡ€ΡƒΡŽΡ‰ΠΈΡ… ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ, ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΈ вСрификация VHDL-описаний ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ² Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм, Ρ€Π΅Π°Π»ΠΈΠ·ΡƒΠ΅ΠΌΡ‹Ρ… Π½Π° элСмСнтной Π±Π°Π·Π΅ ΡΠ²Π΅Ρ€Ρ…Π±ΠΎΠ»ΡŒΡˆΠΈΡ… ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… схСм ΠΈ систСм Π½Π° кристаллС. ΠŸΡ€ΠΈΠ²ΠΎΠ΄ΡΡ‚ΡΡ ΠΏΠΎΡˆΠ°Π³ΠΎΠ²Ρ‹Π΅ ΠΌΠ°Ρ€ΡˆΡ€ΡƒΡ‚Ρ‹ выполнСния Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… Π²ΠΈΠ΄ΠΎΠ² модСлирования Π² систСмС Questa Sim. ΠžΠΏΠΈΡΡ‹Π²Π°ΡŽΡ‚ΡΡ стандарт VHDL’2008 языка VHDL ΠΈ ΠΎΡ€ΠΈΠ΅Π½Ρ‚ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹ΠΉ Π½Π° Π²Π΅Ρ€ΠΈΡ„ΠΈΠΊΠ°Ρ†ΠΈΡŽ язык PSL (язык ассСртов) для записи ΡƒΡ‚Π²Π΅Ρ€ΠΆΠ΄Π΅Π½ΠΈΠΉ ΠΎ свойствах повСдСния ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм. Π’ ΠΊΠ½ΠΈΠ³Ρƒ Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΎ большоС число ΠΏΡ€ΠΈΠΌΠ΅Ρ€ΠΎΠ², ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½Ρ‹ для ΡΠΎΠ²Π΅Ρ€ΡˆΠ΅Π½ΡΡ‚Π²ΠΎΠ²Π°Π½ΠΈΡ Π½Π°Π²Ρ‹ΠΊΠΎΠ² практичСской Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² систСмС Questa Sim ΠΏΡ€ΠΈ ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½ΠΎΠΌ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ. .Для студСнтов, магистрантов ΠΈ аспирантов, ΠΈΠ·ΡƒΡ‡Π°ΡŽΡ‰ΠΈΡ… языки VHDL ΠΈ PSL ΠΈ ΠΈΡ… ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ Π² систСмах Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ проСктирования, Π° Ρ‚Π°ΠΊΠΆΠ΅ для спСциалистов, Π·Π°Π½ΠΈΠΌΠ°ΡŽΡ‰ΠΈΡ…ΡΡ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм.

Π›ΠΈΠ±Ρ€ΠΎΠΊΠΎΠΌ

На Ρ‚ΠΎΠ²Π°Ρ€ ΠΏΠΎΠΊΠ° Π½Π΅Ρ‚ ΠΎΡ‚Π·Ρ‹Π²ΠΎΠ²

ΠŸΠΎΠ΄Π΅Π»ΠΈΡ‚Π΅ΡΡŒ своим ΠΌΠ½Π΅Π½ΠΈΠ΅ΠΌ Ρ€Π°Π½ΡŒΡˆΠ΅ всСх

Как ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚ΡŒ бонусы Π·Π° ΠΎΡ‚Π·Ρ‹Π² ΠΎ Ρ‚ΠΎΠ²Π°Ρ€Π΅

1

Π‘Π΄Π΅Π»Π°ΠΉΡ‚Π΅ Π·Π°ΠΊΠ°Π· Π² ΠΈΠ½Ρ‚Π΅Ρ€Π½Π΅Ρ‚-ΠΌΠ°Π³Π°Π·ΠΈΠ½Π΅

2

ΠΠ°ΠΏΠΈΡˆΠΈΡ‚Π΅ Ρ€Π°Π·Π²Ρ‘Ρ€Π½ΡƒΡ‚Ρ‹ΠΉ ΠΎΡ‚Π·Ρ‹Π² ΠΎΡ‚ 300 символов Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π½Π° Ρ‚ΠΎ, Ρ‡Ρ‚ΠΎ Π²Ρ‹ ΠΊΡƒΠΏΠΈΠ»ΠΈ

3

Π”ΠΎΠΆΠ΄ΠΈΡ‚Π΅ΡΡŒ, ΠΏΠΎΠΊΠ° ΠΎΡ‚Π·Ρ‹Π² ΠΎΠΏΡƒΠ±Π»ΠΈΠΊΡƒΡŽΡ‚.

Если ΠΎΠ½ окаТСтся срСди ΠΏΠ΅Ρ€Π²Ρ‹Ρ… дСсяти, Π²Ρ‹ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚Π΅ 30 бонусов Π½Π° ΠšΠ°Ρ€Ρ‚Ρƒ Π›ΡŽΠ±ΠΈΠΌΠΎΠ³ΠΎ ΠŸΠΎΠΊΡƒΠΏΠ°Ρ‚Π΅Π»Ρ. МоТно ΠΏΠΈΡΠ°Ρ‚ΡŒ Π½Π΅ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Π½ΠΎΠ΅ количСство ΠΎΡ‚Π·Ρ‹Π²ΠΎΠ² ΠΊ Ρ€Π°Π·Π½Ρ‹ΠΌ ΠΏΠΎΠΊΡƒΠΏΠΊΠ°ΠΌ – ΠΌΡ‹ начислим бонусы Π·Π° ΠΊΠ°ΠΆΠ΄Ρ‹ΠΉ, ΠΎΠΏΡƒΠ±Π»ΠΈΠΊΠΎΠ²Π°Π½Π½Ρ‹ΠΉ Π² ΠΏΠ΅Ρ€Π²ΠΎΠΉ дСсяткС.

ΠŸΡ€Π°Π²ΠΈΠ»Π° начислСния бонусов

Если ΠΎΠ½ окаТСтся срСди ΠΏΠ΅Ρ€Π²Ρ‹Ρ… дСсяти, Π²Ρ‹ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚Π΅ 30 бонусов Π½Π° ΠšΠ°Ρ€Ρ‚Ρƒ Π›ΡŽΠ±ΠΈΠΌΠΎΠ³ΠΎ ΠŸΠΎΠΊΡƒΠΏΠ°Ρ‚Π΅Π»Ρ. МоТно ΠΏΠΈΡΠ°Ρ‚ΡŒ Π½Π΅ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Π½ΠΎΠ΅ количСство ΠΎΡ‚Π·Ρ‹Π²ΠΎΠ² ΠΊ Ρ€Π°Π·Π½Ρ‹ΠΌ ΠΏΠΎΠΊΡƒΠΏΠΊΠ°ΠΌ – ΠΌΡ‹ начислим бонусы Π·Π° ΠΊΠ°ΠΆΠ΄Ρ‹ΠΉ, ΠΎΠΏΡƒΠ±Π»ΠΈΠΊΠΎΠ²Π°Π½Π½Ρ‹ΠΉ Π² ΠΏΠ΅Ρ€Π²ΠΎΠΉ дСсяткС.

ΠŸΡ€Π°Π²ΠΈΠ»Π° начислСния бонусов

Книга Β«ΠœΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΈ вСрификация Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм Π½Π° языкС VHDLΒ» Π΅ΡΡ‚ΡŒ Π² Π½Π°Π»ΠΈΡ‡ΠΈΠΈ Π² ΠΈΠ½Ρ‚Π΅Ρ€Π½Π΅Ρ‚-ΠΌΠ°Π³Π°Π·ΠΈΠ½Π΅ Β«Π§ΠΈΡ‚Π°ΠΉ-Π³ΠΎΡ€ΠΎΠ΄Β» ΠΏΠΎ ΠΏΡ€ΠΈΠ²Π»Π΅ΠΊΠ°Ρ‚Π΅Π»ΡŒΠ½ΠΎΠΉ Ρ†Π΅Π½Π΅. Если Π²Ρ‹ Π½Π°Ρ…ΠΎΠ΄ΠΈΡ‚Π΅ΡΡŒ Π² МосквС, Π‘Π°Π½ΠΊΡ‚-ΠŸΠ΅Ρ‚Π΅Ρ€Π±ΡƒΡ€Π³Π΅, НиТнСм НовгородС, Казани, Π•ΠΊΠ°Ρ‚Π΅Ρ€ΠΈΠ½Π±ΡƒΡ€Π³Π΅, РостовС-Π½Π°-Π”ΠΎΠ½Ρƒ ΠΈΠ»ΠΈ любом Π΄Ρ€ΡƒΠ³ΠΎΠΌ Ρ€Π΅Π³ΠΈΠΎΠ½Π΅ России, Π²Ρ‹ ΠΌΠΎΠΆΠ΅Ρ‚Π΅ ΠΎΡ„ΠΎΡ€ΠΌΠΈΡ‚ΡŒ Π·Π°ΠΊΠ°Π· Π½Π° ΠΊΠ½ΠΈΠ³Ρƒ Β«ΠœΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΈ вСрификация Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм Π½Π° языкС VHDLΒ» ΠΈ Π²Ρ‹Π±Ρ€Π°Ρ‚ΡŒ ΡƒΠ΄ΠΎΠ±Π½Ρ‹ΠΉ способ Π΅Π³ΠΎ получСния: самовывоз, доставка ΠΊΡƒΡ€ΡŒΠ΅Ρ€ΠΎΠΌ ΠΈΠ»ΠΈ ΠΎΡ‚ΠΏΡ€Π°Π²ΠΊΠ° ΠΏΠΎΡ‡Ρ‚ΠΎΠΉ. Π§Ρ‚ΠΎΠ±Ρ‹ ΠΏΠΎΠΊΡƒΠΏΠ°Ρ‚ΡŒ ΠΊΠ½ΠΈΠ³ΠΈ Π²Π°ΠΌ Π±Ρ‹Π»ΠΎ Π΅Ρ‰Ρ‘ приятнСС, ΠΌΡ‹ рСгулярно ΠΏΡ€ΠΎΠ²ΠΎΠ΄ΠΈΠΌ Π°ΠΊΡ†ΠΈΠΈ ΠΈ конкурсы.

Expert VHDL

Π­Ρ‚ΠΎ курс ΡƒΠ³Π»ΡƒΠ±Π»Π΅Π½Π½ΠΎΠ³ΠΎ изучСния языка ΠΈ ΠΌΠ΅Ρ‚ΠΎΠ΄ΠΎΠ»ΠΎΠ³ΠΈΠΈ. ВрСбуСтся ΠΏΡ€Π΅Π΄Π²Π°Ρ€ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ посСщСниС класса Doulos Comprehensive VHDL (ΠΈΠ»ΠΈ эквивалСнтного), ΠΈ Π½Π°ΡΡ‚ΠΎΡΡ‚Π΅Π»ΡŒΠ½ΠΎ рСкомСндуСтся Π½Π΅ ΠΌΠ΅Π½Π΅Π΅ 6 мСсяцСв Β«ΠΆΠΈΠ²ΠΎΠ³ΠΎΒ» ΠΎΠΏΡ‹Ρ‚Π° Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π½Π°Π΄ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°ΠΌΠΈ с использованиСм VHDL. Π”Π΅Π»Π΅Π³Π°Ρ‚Ρ‹, ΠΏΠΎΡΠ΅Ρ‰Π°ΡŽΡ‰ΠΈΠ΅ ΠΌΠΎΠ΄ΡƒΠ»ΡŒ Expert Design, Π΄ΠΎΠ»ΠΆΠ½Ρ‹ ΠΈΠΌΠ΅Ρ‚ΡŒ знания ΠΈ ΠΎΠΏΡ‹Ρ‚ кодирования ΠΈ синтСза Π½Π° ΡƒΡ€ΠΎΠ²Π½Π΅ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ рСгистров с использованиСм VHDL.

Π£Ρ‡Π΅Π±Π½Ρ‹Π΅ ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»Ρ‹ Doulos извСстны ΠΊΠ°ΠΊ Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ ΠΏΠΎΠ»Π½Ρ‹Π΅ ΠΈ ΡƒΠ΄ΠΎΠ±Π½Ρ‹Π΅ Π² использовании. Π˜Ρ… ΡΡ‚ΠΈΠ»ΡŒ, содСрТаниС ΠΈ ΠΎΡ…Π²Π°Ρ‚ ΡƒΠ½ΠΈΠΊΠ°Π»ΡŒΠ½Ρ‹ Π² ΠΌΠΈΡ€Π΅ HDL-Ρ‚Ρ€Π΅Π½ΠΈΡ€ΠΎΠ²ΠΎΠΊ ΠΈ сдСлали ΠΈΡ… сами ΠΏΠΎ сСбС вострСбованными рСсурсами. Π‘Ρ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ занятий Π²ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚:

  • ΠŸΠΎΠ»Π½ΠΎΡΡ‚ΡŒΡŽ проиндСксированныС Π·Π°ΠΌΠ΅Ρ‚ΠΊΠΈ ΠΎ занятиях, ΡΠΎΠ·Π΄Π°ΡŽΡ‰ΠΈΠ΅ ΠΏΠΎΠ»Π½ΠΎΠ΅ справочноС руководство
  • Рабочая Ρ‚Π΅Ρ‚Ρ€Π°Π΄ΡŒ, полная практичСских ΠΏΡ€ΠΈΠΌΠ΅Ρ€ΠΎΠ², ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΏΠΎΠΌΠΎΠ³ΡƒΡ‚ Π²Π°ΠΌ ΠΏΡ€ΠΈΠΌΠ΅Π½ΠΈΡ‚ΡŒ свои знания
  • ЭлСктронная ΠΊΠ½ΠΈΠ³Π° Doulos Golden Reference Guide ΠΏΠΎ языку, синтаксису, сСмантикС ΠΈ совСтам
  • ΠŸΡƒΡ‚Π΅Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΠΈ (для ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠΈ Π²Ρ‹Π±Ρ€Π°Π½Π½Ρ‹Ρ… Π²Π°ΠΌΠΈ инструмСнтов ΠΈ Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΉ)

Если Π²Ρ‹ ΠΏΡ€Π΅Π΄ΠΏΠΎΡ‡ΠΈΡ‚Π°Π΅Ρ‚Π΅ справочноС руководство Doulos Golden Π² мягкой ΠΎΠ±Π»ΠΎΠΆΠΊΠ΅, Π΅Π³ΠΎ ΠΌΠΎΠΆΠ½ΠΎ приобрСсти Π² ΠΈΠ½Ρ‚Π΅Ρ€Π½Π΅Ρ‚-ΠΌΠ°Π³Π°Π·ΠΈΠ½Π΅ Doulos .


ЭкспСртноС ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ VHDL (Π΄Π½ΠΈ 1-2)

Β 

RTL-синтСз ΠΈ синхронизация


Π‘ΠΈΠ½Ρ‚Π΅Π· ΠΊΠΎΠΌΠ±ΠΈΠ½Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΈ β€’ Π‘ΠΈΠ½Ρ‚Π΅Π· ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΈ β€’ ΠšΠΎΠΌΠ±ΠΈΠ½Π°Ρ†ΠΈΡ ΠΈ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Π°Ρ вмСстС β€’ ΠŸΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Π΅ Π² Ρ‚Π°ΠΊΡ‚ΠΎΠ²Ρ‹Ρ… процСссах β€’ Бколько рСгистров? β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΈ Ρ€Π°Π·Ρ€Π΅ΡˆΠ΅Π½ΠΈΡ ΠΈ Π΄Ρ€Π°ΠΉΠ²Π΅Ρ€Ρ‹ β€’ ΠΠ΅Ρ€Π°Π·Ρ€Π΅ΡˆΠ΅Π½Π½Ρ‹Π΅ Ρ‚ΠΈΠΏΡ‹ β€’ Π‘ΠΈΠ½Ρ…Ρ€ΠΎΠ½Π½ΠΎΠ΅ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ β€’ Π‘ΠΈΠ½Ρ…Ρ€ΠΎΠ½Π½Ρ‹Π΅ ΠΏΡ€Π°Π²ΠΈΠ»Π° проСктирования β€’ НСсинхронныС Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ β€’ Насколько ΡƒΠΌΠ΅Π½ ваш инструмСнт синтСза? β€’ БовмСстноС использованиС рСсурсов β€’ Π‘ΠΈΠ½Ρ‚Π΅Π· Π°Ρ€ΠΈΡ„ΠΌΠ΅Ρ‚ΠΈΠΊΠΈ β€” WYSIWYG β€’ УстранСниС ΠΌΡƒΠ»ΡŒΡ‚ΠΈΠΏΠ»Π΅ΠΊΡΠΎΡ€Π° β€’ Π‘Π΄Π²ΠΈΠ³ Π²Π»Π΅Π²ΠΎ/Π²ΠΏΡ€Π°Π²ΠΎ β€’ ΠŸΡ€ΠΈΠ½ΡƒΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½Π°Ρ рСализация β€’ Атрибуты синтСза β€’ Π’Ρ‹Π²ΠΎΠ΄ ΠΈΠ»ΠΈ конкрСтизация? β€’ БтатичСский Π°Π½Π°Π»ΠΈΠ· синхронизации β€’ Π˜ΡΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ Π°Π½Π°Π»ΠΈΠ·Π° синхронизации β€’ ΠŸΠΎΠ²Ρ‚ΠΎΡ€Π½Π°Ρ синхронизация ΠΈ конвСйСризация β€’ Π˜ΡΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ Π°Π½Π°Π»ΠΈΠ·Π° синхронизации β€’ Π˜Π΅Ρ€Π°Ρ€Ρ…ΠΈΡ ΠΈ оптимизация β€’ ЗарСгистрированныС ΠΏΠΎΡ€Ρ‚Ρ‹ β€’ АсинхронныС Π²Ρ…ΠΎΠ΄Ρ‹ β€’ ΠžΠΏΠ°ΡΠ½ΠΎΡΡ‚ΡŒ Π²Π²ΠΎΠ΄Π° β€’ β€’ ΠœΠ΅Ρ‚Π°ΡΡ‚Π°Π±ΠΈΠ»ΡŒΠ½ΠΎΡΡ‚ΡŒ β€’ Π‘ΠΈΠ½Ρ…Ρ€ΠΎΠ½ΠΈΠ·Π°Ρ‚ΠΎΡ€ Π² VHDL β€’ НСсколько Π΄ΠΎΠΌΠ΅Π½ΠΎΠ² синхронизации β€’ ΠŸΠ΅Ρ€Π΅Π΄Π°Ρ‡Π° Π΄Π°Π½Π½Ρ‹Ρ… ΠΌΠ΅ΠΆΠ΄Ρƒ Π΄ΠΎΠΌΠ΅Π½Π°ΠΌΠΈ синхронизации β€’ ИспользованиС FIFO для синхронизации β€’ Бброс синхронизации

НаписаниС Ρ‡ΠΈΡ‚Π°Π΅ΠΌΡ‹Ρ… ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ²


ВозмоТности VHDL для абстракции β€’ Π’ΠΈΠΏΡ‹ записСй β€’ ИспользованиС ΠΏΠΎΠ»Π΅ΠΉ записи β€’ АгрСгаты β€’ ИспользованиС записСй для ΠΏΡ€ΠΎΠ²ΠΎΠ΄ΠΊΠΈ β€’ Π‘ΠΎΠ΅Π΄ΠΈΠ½Π΅Π½ΠΈΠ΅ записСй β€’ Π’Π½ΡƒΡ‚Ρ€ΠΈ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½ΠΎΠ³ΠΎ устройства β€’ Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€Π° ΠΌΡƒΠ»ΡŒΡ‚ΠΈΠΏΠ»Π΅ΠΊΡΠ½ΠΎΠΉ ΡˆΠΈΠ½Ρ‹ β€’ ΠœΡƒΠ»ΡŒΡ‚ΠΈΠΏΠ»Π΅ΠΊΡΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ записСй β€’ ΠŸΡ€Π΅Π΄ΡΡ‚Π°Π²Π»Π΅Π½ΠΈΠ΅ ΠΊΠ°Ρ€Ρ‚ рСгистров β€’ Доступ ΠΊ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΌ рСгистрам β€’ Доступ рСгистр состояния β€’ ОбъСдинСниС рСгистров вмСстС β€’ ПсСвдоним β€’ ИспользованиС псСвдонима с Π²Π΅ΠΊΡ‚ΠΎΡ€Π°ΠΌΠΈ β€’ ИспользованиС псСвдонима с шиной β€’ Π”Ρ€ΡƒΠ³ΠΈΠ΅ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚Ρ‹ использования псСвдонима β€’ Π˜ΠΌΠ΅Π½ΠΎΠ²Π°Π½Π½Ρ‹Π΅ Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Ρ‹ β€’ ИспользованиС ΠΈΠΌΠ΅Π½ΠΎΠ²Π°Π½Π½Ρ‹Ρ… Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½ΠΎΠ² β€’ РСзюмС

НаписаниС для ΠΏΠΎΠ²Ρ‚ΠΎΡ€Π½ΠΎΠ³ΠΎ использования


ΠŸΠΎΠ²Ρ‚ΠΎΡ€Π½ΠΎΠ΅ использованиС Π½Π° ΡƒΡ€ΠΎΠ²Π½Π΅ языка β€’ ΠŸΠΎΠ²Ρ‚ΠΎΡ€Π½ΠΎΠ΅ использованиС Ρ„Ρ€Π°Π³ΠΌΠ΅Π½Ρ‚ΠΎΠ² ΠΊΠΎΠ΄Π° β€’ ΠŸΠΎΠ²Ρ‚ΠΎΡ€Π½ΠΎΠ΅ использованиС стандартных ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² β€’ ΠŸΡ€ΠΈΠΎΠ±Ρ€Π΅Ρ‚Π΅Π½ΠΈΠ΅ IP β€’ НаписаниС ΠΌΠ½ΠΎΠ³ΠΎΡ€Π°Π·ΠΎΠ²ΠΎΠ³ΠΎ IP RTL β€’ ΠŸΠΎΠ²Ρ‚ΠΎΡ€Π½ΠΎΠ΅ использованиС компромиссов β€’ ΠŸΡ€ΠΈΠΌΠ΅Ρ€ рСгулярной структуры β€’ ΠœΠ°ΡΡΠΈΠ²Ρ‹ массивов β€’ Π£Π½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Π΅ ΡˆΠ°Π±Π»ΠΎΠ½Ρ‹ β€’ Π£Π½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Π΅ ΡˆΠ°Π±Π»ΠΎΠ½Ρ‹ Ρ‚ΠΈΠΏΠΎΠ² β€’ Массив Атрибуты β€’ Π“Π΅Π½Π΅Ρ€ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ β€’ ΠŸΠΎΠ΄Ρ€ΠΎΠ±Π½Π΅Π΅ ΠΎ Π³Π΅Π½Π΅Ρ€Π°Ρ†ΠΈΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ² β€’ VHDL-2008 Π“Π΅Π½Π΅Ρ€ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ β€’ РСализация повСдСния β€’ НСограничСнныС ΠΏΠΎΡ€Ρ‚Ρ‹ массива β€’ ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΈΠ·Π°Ρ†ΠΈΡ ΡˆΠΈΡ€ΠΈΠ½Ρ‹ Π΄Π°Π½Π½Ρ‹Ρ… β€’ ΠœΠ°ΡΡΠΈΠ²Ρ‹ VHDL-2008 β€’ ΠœΠ½ΠΎΠ³ΠΎΠΌΠ΅Ρ€Π½Ρ‹Π΅ массивы β€’ Π‘Π³Π»Π°ΠΆΠΈΠ²Π°ΡŽΡ‰ΠΈΠ΅ ΠΌΠ°Ρ‚Ρ€ΠΈΡ†Ρ‹ β€’ ΠœΠ½ΠΎΠ³ΠΎΠΌΠ΅Ρ€Π½Ρ‹Π΅ массивы ΠΏΠΎΡ€Ρ‚ΠΎΠ² β€’ ΠŸΠΎΠ»ΡƒΡ‡Π΅Π½ΠΈΠ΅ ΠΈ установка строк β€’ АрхитСктура с использованиСм 2D-массивов β€’ Π‘ΠΎΠ·Π΄Π°Π½ΠΈΠ΅ экзСмпляра ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° β€’ Π”ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΏΠΎΡ€Ρ‚Ρ‹ β€’ По ΡƒΠΌΠΎΠ»Ρ‡Π°Π½ΠΈΡŽ для ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°

Π Π°ΡΡˆΠΈΡ€Π΅Π½Π½Ρ‹Π΅ стили кодирования


ΠŸΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ β€’ ΠŸΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ β€’ Класс, Ρ€Π΅ΠΆΠΈΠΌ ΠΈ Ρ‚ΠΈΠΏ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² β€’ ΠŸΠ΅Ρ€Π΅Π³Ρ€ΡƒΠ·ΠΊΠ° ΠΏΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ β€’ ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ сигнала β€’ Π‘ΠΈΠ½Ρ‚Π΅Π·ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠ΅ ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ β€’ ДСструктивноС Ρ‡Ρ‚Π΅Π½ΠΈΠ΅ рСгистра β€’ ΠŸΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Π° чтСния β€’ ΠŸΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Π° Π² FSM β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΈ β€’ РСкурсия β€’ ΠŸΡ€ΠΈΠΌΠ΅Ρ€ рСкурсивной Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ β€’ Π‘ΠΎΠ·Π΄Π°Π½ΠΈΠ΅ ΠžΠ±Ρ‰ΠΈΠΉ счСтчик β€’ Π‘ΠΎΠ·Π΄Π°Π½ΠΈΠ΅ схСмы log2 β€’ РСкурсивная рСализация β€’ ОбъявлСниС рСкурсивного ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° β€’ РСшСниС ΠΏΡ€ΠΎΠ±Π»Π΅ΠΌΡ‹ β€’ РСшСниС ΠΏΡ€ΠΎΠ±Π»Π΅ΠΌΡ‹ (VHDL-2008) β€’ Π Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Ρ‹ синтСза

Π‘ΠΈΠ½Ρ‚Π΅Π· ΠΊΠΎΠ½Π΅Ρ‡Π½ΠΎΠ³ΠΎ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚Π°


Π”ΠΈΠ°Π³Ρ€Π°ΠΌΠΌΡ‹ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΎΠ² состояний β€’ ΠšΠΎΠ½Π΅Ρ‡Π½Ρ‹Π΅ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚Ρ‹ β€’ ΠŸΡ€ΠΎΡΡ‚ΠΎΠΉ ΡΡ‚ΠΈΠ»ΡŒ с двумя процСссами β€’ Π•Ρ‰Π΅ ​​один ΡΡ‚ΠΈΠ»ΡŒ с двумя процСссами β€’ Π‘Ρ‚ΠΈΠ»ΡŒ с ΠΎΠ΄Π½ΠΈΠΌ процСссом β€’ АрхитСктура ΠΊΠΎΠ½Π΅Ρ‡Π½ΠΎΠ³ΠΎ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚Π° β€’ Π‘Ρ€Π°Π²Π½Π΅Π½ΠΈΠ΅ стилСй кодирования β€’ Π‘ΠΎΠ»Π΅Π΅ простыС зарСгистрированныС Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Π΅ Π΄Π°Π½Π½Ρ‹Π΅ β€’ ЗарСгистрированныС Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Π΅ Π΄Π°Π½Π½Ρ‹Π΅ с использованиСм Π»ΠΎΠΊΠ°Π»ΡŒΠ½Ρ‹Ρ… ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… β€’ ΠšΠΎΠ΄ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ состояния β€’ Π‘Π΅Π· дСкодирования Π²Ρ‹Π²ΠΎΠ΄Π° β€’ НСдостиТимыС состояния β€’ Π£ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ нСдостиТимыми состояниями β€’ Π―Π²Π½Ρ‹ΠΉ ΠΎΠ΄ΠΈΠ½ горячий ΡΡ‚ΠΈΠ»ΡŒ β€’ ΠžΠΏΡ‚ΠΈΠΌΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹ΠΉ Π²Ρ€ΡƒΡ‡Π½ΡƒΡŽ ΠΎΠ΄ΠΈΠ½ горячий ΡΡ‚ΠΈΠ»ΡŒ β€’ Π‘ΠΈΠ³Π½Π°Π» столкновСния β€’ Блишком ΠΌΠ½ΠΎΠ³ΠΎ состояний? β€’ РСзюмС ΠΊΠΎΠ½Π΅Ρ‡Π½ΠΎΠ³ΠΎ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚Π°

ΠŸΠ°ΠΊΠ΅Ρ‚Ρ‹ ΠΈ ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΈ


ΠŸΠ°ΠΊΠ΅Ρ‚Ρ‹ β€’ ΠŸΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π² ΠΏΠ°ΠΊΠ΅Ρ‚Π°Ρ… β€’ ΠžΡ‚Π»ΠΎΠΆΠ΅Π½Π½Ρ‹Π΅ константы β€’ ΠŸΠ°ΠΊΠ΅Ρ‚Ρ‹ VHDL-2008 β€’ ΠžΠ±ΠΎΠ±Ρ‰Π΅Π½ΠΈΡ Π² ΠΏΠ°ΠΊΠ΅Ρ‚Π΅ β€’ ΠŸΠ°ΠΊΠ΅Ρ‚ с ΠΏΠ»Π°Π²Π°ΡŽΡ‰Π΅ΠΉ запятой β€’ Ѐиксированный ΠΏΠ°ΠΊΠ΅Ρ‚ β€’ ΠŸΡ€ΠΈΠΌΠ΅Ρ€ с фиксированной Ρ‚ΠΎΡ‡ΠΊΠΎΠΉ β€’ ΠžΠ±Π»Π°ΡΡ‚ΡŒ дСйствия прСдлоТСния β€’ ОбъявлСниС ΠΈ созданиС экзСмпляров ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° β€’ ОбъявлСния ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π² ΠŸΠ°ΠΊΠ΅Ρ‚ β€’ ΠšΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΡ β€’ ΠŸΡ€Π°Π²ΠΈΠ»Π° привязки ΠΏΠΎ ΡƒΠΌΠΎΠ»Ρ‡Π°Π½ΠΈΡŽ β€’ Π˜Π΅Ρ€Π°Ρ€Ρ…ΠΈΡ‡Π΅ΡΠΊΠΈΠ΅ ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΈ β€’ Π’Π»ΠΎΠΆΠ΅Π½Π½Ρ‹Π΅ ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΈ β€’ ИзмСнСния ΠΈΠΌΠ΅Π½ΠΈ ΠΏΠΎΡ€Ρ‚Π° β€’ ΠŸΡ€Π΅ΠΎΠ±Ρ€Π°Π·ΠΎΠ²Π°Π½ΠΈΡ Ρ‚ΠΈΠΏΠΎΠ² β€’ Π£Π½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Π΅ настройки β€’ ΠšΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΈ с ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Π°ΠΌΠΈ Π³Π΅Π½Π΅Ρ€Π°Ρ†ΠΈΠΈ

Бвойства ΠΈ утвСрТдСния


Бвойство ΠΏΡ€ΠΎΡ‚ΠΈΠ² утвСрТдСния β€’ ΠŸΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ свойств β€’ ΠšΡ‚ΠΎ ΠΏΠΈΡˆΠ΅Ρ‚ свойства? β€’ ΠΠ°Π±Π»ΡŽΠ΄Π°Π΅ΠΌΠΎΡΡ‚ΡŒ β€’ ΠŸΡ€ΠΎΡΡ‚ΠΎΠ΅ ΡƒΡ‚Π²Π΅Ρ€ΠΆΠ΄Π΅Π½ΠΈΠ΅ β€’ БрСдство ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ ΠΈΠ»ΠΈ ΠΌΠΎΠ½ΠΈΡ‚ΠΎΡ€ модСлирования β€’ Бвойства ΠΈ спСцификация β€’ Π˜ΡΡ‚ΠΎΡ€ΠΈΡ Π΄ΠΎ сих пор… β€’ OVL β€’ ИспользованиС OVL с VHDL β€’ ΠŸΠ°ΠΊΠ΅Ρ‚Ρ‹ OVL β€’ ΠšΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΡ OVL β€’ Π‘ΠΎΠ·Π΄Π°Π½ΠΈΠ΅ экзСмпляра утвСрТдСния β€’ УтвСрТдСния OVL VHDL β€’ ΠŸΡ€ΠΈΠΌΠ΅Ρ€Ρ‹ ΡƒΡ‚Π²Π΅Ρ€ΠΆΠ΄Π΅Π½ΠΈΠΉ β€’ PSL β€’ Π­Π»Π΅ΠΌΠ΅Π½Ρ‚Ρ‹ свойства β€’ ΠžΡΠ½ΠΎΠ²Ρ‹ PSL β€’ Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€Π° PSL β€’ ЛогичСский ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ β€’ Часы ΠΈ часы ΠΏΠΎ ΡƒΠΌΠΎΠ»Ρ‡Π°Π½ΠΈΡŽ β€’ Π—Π°Π΄Π΅Ρ€ΠΆΠΊΠΈ ΠΈ послСдствия β€’ next β€’ Π’Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠΉ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ β€’ Π£Ρ€ΠΎΠ²Π΅Π½ΡŒ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ Π•Π΄ΠΈΠ½ΠΈΡ†Ρ‹ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ Π£Ρ€ΠΎΠ²Π΅Π½ΡŒ модСлирования β€’ ИспользованиС PSL с HDL β€’ ΠœΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ Π²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… свойств β€’ ΠžΠ±Π·ΠΎΡ€ прСимущСств

ЭкспСртная ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° VHDL (Π΄Π½ΠΈ 3–5)

Β 

ΠœΠ΅Ρ‚ΠΎΠ΄ΠΎΠ»ΠΎΠ³ΠΈΡ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠΉ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ


Π§Ρ‚ΠΎ Ρ‚Π°ΠΊΠΎΠ΅ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ°? β€’ ΠŸΠΎΠ΄Ρ…ΠΎΠ΄Ρ‹ ΠΊ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ΅ β€’ БтратСгия ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ Π§Ρ‚ΠΎ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΡΡ‚ΡŒ? β€’ На ΠΏΡƒΡ‚ΠΈ ΠΊ ΠΏΠ»Π°Π½Ρƒ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ НС ΠΏΠ»Π°Π½ΠΈΡ€ΡƒΠΉΡ‚Π΅ всС β€’ ΠžΠΏΡ€Π΅Π΄Π΅Π»ΠΈΡ‚Π΅ тСстовыС случаи β€’ ΠœΠ΅Ρ‚Ρ€ΠΈΠΊΠΈ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ ΠŸΠΎΠΊΡ€Ρ‹Ρ‚ΠΈΠ΅ β€’ Π’ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ покрытия β€’ ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ°, основанная Π½Π° ΠΏΠΎΠΊΡ€Ρ‹Ρ‚ΠΈΠΈ β€’ ΠžΡ‚ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ ΠΊ тСстам β€’ ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° β€’ ΠŸΠ΅Ρ€Π΅ΡΠΌΠΎΡ‚Ρ€ планирования ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ Π‘Π°Π·ΠΎΠ²Ρ‹ΠΉ тСстовый стСнд β€’ Π‘Ρ€Π΅Π΄Π° ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ ΠœΠ΅Ρ‚ΠΎΠ΄ΠΎΠ»ΠΎΠ³ΠΈΠΈ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ VHDL ΠœΠ΅Ρ‚ΠΎΠ΄ΠΎΠ»ΠΎΠ³ΠΈΡ β€’ Π”ΠΈΠ·Π°ΠΉΠ½ для ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ ВСстированиС «стСклянного ящика» β€’ Анализ для Π²Ρ‹Π±ΠΎΡ€Π° тСстов β€’ Π“Ρ€Π°Π½ΠΈΡ‡Π½Ρ‹Π΅ условия ΠΈ ΡƒΠ³Π»ΠΎΠ²Ρ‹Π΅ случаи β€’ ВСстированиС Β«Ρ‡Π΅Ρ€Π½ΠΎΠ³ΠΎ ящика» β€’ РСгрСссионноС тСстированиС β€’ БтрСсс-тСстированиС β€’ Π Π°Π·Π»ΠΈΡ‡Π½Ρ‹Π΅ Π²ΠΈΠ΄Ρ‹ стимулов

ΠŸΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ ΠΈ Π·Π°Ρ‰ΠΈΡ‰Π΅Π½Π½Ρ‹Π΅ Ρ‚ΠΈΠΏΡ‹


ИспользованиС абстракции Π² тСстовом стСндС β€’ ΠŸΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ β€’ ΠŸΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ β€’ Класс, Ρ€Π΅ΠΆΠΈΠΌ ΠΈ Ρ‚ΠΈΠΏ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² β€’ ΠŸΠ΅Ρ€Π΅Π³Ρ€ΡƒΠ·ΠΊΠ° ΠΏΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ β€’ ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ сигнала β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΈ β€’ ΠŸΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π² ΠΏΠ°ΠΊΠ΅Ρ‚Π°Ρ… β€’ НСчистыС Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ β€’ Π—Π°Ρ‰ΠΈΡ‰Π΅Π½Π½Ρ‹Π΅ Ρ‚ΠΈΠΏΡ‹ β€’ Π’Π΅Π»ΠΎ Π·Π°Ρ‰ΠΈΡ‰Π΅Π½Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ° β€’ ОбъявлСниС a ΠžΠ±Ρ‰Π°Ρ пСрСмСнная

ΠŸΠΎΠ΄Ρ€ΠΎΠ±Π½Π΅Π΅ ΠΎ Ρ„Π°ΠΉΠ»ΠΎΠ²ΠΎΠΌ Π²Π²ΠΎΠ΄Π΅-Π²Ρ‹Π²ΠΎΠ΄Π΅


Π‘Π°Π·ΠΎΠ²Ρ‹ΠΉ тСстовый стСнд β€’ Π’Ρ‹Π²ΠΎΠ΄ TEXTIO β€’ ΠŸΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Π° Π§Π’Π•ΠΠ˜Π• β€’ Когда Π§Π’Π•ΠΠ˜Π• ΠΈΠ΄Π΅Ρ‚ Π½Π΅ΠΏΡ€Π°Π²ΠΈΠ»ΡŒΠ½ΠΎ β€’ ΠŸΡ€Π΅ΠΎΠ±Ρ€Π°Π·ΠΎΠ²Π°Π½ΠΈΠ΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ Π² тСкстовыС строки β€’ ΠžΡ‚ΠΊΡ€Ρ‹Ρ‚ΠΈΠ΅ ΠΈ Π·Π°ΠΊΡ€Ρ‹Ρ‚ΠΈΠ΅ Ρ„Π°ΠΉΠ»ΠΎΠ² β€’ ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° статуса открытия Ρ„Π°ΠΉΠ»Π° β€’ Π£ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ тСкстовыми Ρ„Π°ΠΉΠ»Π°ΠΌΠΈ β€’ ΠŸΠ°ΠΊΠ΅Ρ‚ STD_LOGIC_TEXTIO β€’ ИспользованиС встроСнных Ρ„Π°ΠΉΠ»ΠΎΠ² β€’ Π§Ρ‚Π΅Π½ΠΈΠ΅ Π΄Π°Π½Π½Ρ‹Ρ… ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠΉ Π΄Π»ΠΈΠ½Ρ‹ β€’ Π€Π°ΠΉΠ»Ρ‹ Π±Π΅Π· тСкста β€’ Π”Π²ΠΎΠΈΡ‡Π½Ρ‹Π΅ Ρ„Π°ΠΉΠ»Ρ‹ β€’ VHDL-2008 File IO

ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° Π½Π° ΡƒΡ€ΠΎΠ²Π½Π΅ Ρ‚Ρ€Π°Π½Π·Π°ΠΊΡ†ΠΈΠΉ


Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ тСстовых стСндов β€’ ΠŸΠΎΡΡ‚Ρ€ΠΎΠ΅Π½ΠΈΠ΅ ΠΏΠΎΠ»Π½ΠΎΠ³ΠΎ тСстового стСнда β€’ ΠœΠΎΠ½ΠΎΠ»ΠΈΡ‚Π½Ρ‹Π΅ тСстовыС стСнды Π½Π΅Π³ΠΈΠ±ΠΊΠΈ β€’ Π‘ΠΊΡ€Ρ‹Ρ‚ΠΈΠ΅ интСрфСйса DUT ΠΎΡ‚ тСстового Π½Π°Π±ΠΎΡ€Π° β€’ ΠœΠ½ΠΎΠ³ΠΎΡƒΡ€ΠΎΠ²Π½Π΅Π²Π°Ρ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π° β€’ ВСстовый Π½Π°Π±ΠΎΡ€ Π½Π° ΡƒΡ€ΠΎΠ²Π½Π΅ Ρ‚Ρ€Π°Π½Π·Π°ΠΊΡ†ΠΈΠΉ β€’ Π’Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ Ρ‚Ρ€Π°Π½Π·Π°ΠΊΡ†ΠΈΠΈ Π² VHDL β€’ Доступ ΠΊ полям β€’ ОбмСн транзакциями β€’ ΠŸΡ€ΠΎΡΡ‚ΠΎΠΉ ΠΏΡ€ΠΈΠΌΠ΅Ρ€ β€’ Π§Ρ‚ΠΎ Ρ‚Π°ΠΊΠΎΠ΅ (VHDL) транзакция? β€’ ВзаимодСйствиС Π±Π΅Π· событий β€’ ГСнСрация Ρ‚Ρ€Π°Π½Π·Π°ΠΊΡ†ΠΈΠΉ β€’ ИспользованиС ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€ с сигналами β€’ БистСмный ΠΏΠΎΠ΄Ρ…ΠΎΠ΄ β€’ ΠΠ΅Π±Π»ΠΎΠΊΠΈΡ€ΡƒΡŽΡ‰ΠΈΠ΅ ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠ΅ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΡˆΠΈΠ½Ρ‹ β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Π°Ρ модСль ΡˆΠΈΠ½Ρ‹ β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Π°Ρ модСль ΡˆΠΈΠ½Ρ‹ с использованиСм Get β€’ Бинхронизация β€’ Канал синхронизации β€’ РСзюмС

ΠŸΠΎΠ΄Ρ€ΠΎΠ±Π½Π΅Π΅ ΠΎ BFM β€” ВрСмя Π² ΠΈΡΠΏΡ‹Ρ‚Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… стСндах


Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠ΅ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΡˆΠΈΠ½Ρ‹ β€’ ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ оТидания β€’ ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ оТидания ΠΈ врСмя β€’ ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° ΠΎΡ‡Π΅Ρ€Π΅Π΄ΠΈ событий β€’ ΠŸΡ€ΠΈΠΌΠ΅Ρ€ синхронизации SRAM β€’ ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ настройки β€’ ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ удСрТания β€’ ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° ΠΊΠΎΠΌΠ±ΠΈΠ½ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ настройки/удСрТания β€’ Π¨ΠΈΡ€ΠΈΠ½Π° ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ° ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° β€’ ОбъявлСния сущностСй β€’ ΠŸΠ°ΡΡΠΈΠ²Π½Ρ‹Π΅ процСссы β€’ ИспользованиС ΠΏΠ°ΠΊΠ΅Ρ‚ΠΎΠ² Vital β€’ Настройка/ΡƒΠ΄Π΅Ρ€ΠΆΠ°Π½ΠΈΠ΅ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ Vital β€’ Π§Ρ‚ΠΎ насчСт Ρ‚Ρ€Π°Π½Π·Π°ΠΊΡ†ΠΈΠΉ? β€’ ΠŸΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ назначСния сигналов β€’ Π”Ρ€Π°ΠΉΠ²Π΅Ρ€Ρ‹ β€’ Как Β«Π²ΠΈΠ΄Π΅Ρ‚ΡŒΒ» Π΄Ρ€Π°ΠΉΠ²Π΅Ρ€Ρ‹ β€’ ΠŸΡ€ΠΎΠ±Π»Π΅ΠΌΡ‹ с нСсколькими Π΄Ρ€Π°ΠΉΠ²Π΅Ρ€Π°ΠΌΠΈ β€’ Π‘Π°ΠΌΡ‹ΠΉ Π΄Π»ΠΈΠ½Π½Ρ‹ΠΉ статичСский прСфикс β€’ ΠŸΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ назначСния сигналов β€’ Π˜Π½Π΅Ρ€Ρ†ΠΈΠΎΠ½Π½Π°Ρ Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠ° β€’ Π˜Π΄Π΅Π½Ρ‚ΠΈΡ‡Π½Ρ‹Π΅ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ назначСния β€’ Π˜Π½Π΅Ρ€Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΈ β€’ Π˜Π½Π΅Ρ€Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ ΠΈ транспортныС Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΈ β€’ Π”Π°Π½Π½Ρ‹Π΅ Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ β€” RTL β€’ ΠžΡ‚Π»ΠΎΠΆΠ΅Π½Π½Ρ‹Π΅ процСссы

ΠœΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ повСдСния ΠΈ срСдства ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ


ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ΠΎΠ² β€’ ΠŸΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Π°Ρ Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠ° β€’ ΠœΠ°ΡΡΠΈΠ²Ρ‹ записСй β€’ ΠžΡ‡Π΅Ρ€Π΅Π΄ΠΈ β€’ РСализация ΠΎΡ‡Π΅Ρ€Π΅Π΄ΠΈ VHDL β€’ ИспользованиС ΠΎΡ‡Π΅Ρ€Π΅Π΄Π΅ΠΉ β€’ Π Π°Π±ΠΎΡ‚Π° с нСупорядочСнным Π·Π°Π²Π΅Ρ€ΡˆΠ΅Π½ΠΈΠ΅ΠΌ β€’ ΠŸΠΎΠ΄ΡΡ‡Π΅Ρ‚ Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ΠΎΠ² β€’ ДинамичСскоС Π²Ρ‹Π΄Π΅Π»Π΅Π½ΠΈΠ΅ памяти β€’ Π’ΠΈΠΏΡ‹ доступа β€’ РаспрСдСлитСли β€’ ОсвобоТдСниС памяти β€’ Π—Π°ΠΏΠΈΡΡŒ Π² FIFO β€’ Π§Ρ‚Π΅Π½ΠΈΠ΅ ΠΈΠ· FIFO β€’ ΠŸΡ€ΠΎΠ±Π»Π΅ΠΌΡ‹ с ΡƒΠΊΠ°Π·Π°Ρ‚Π΅Π»Π΅ΠΌ β€’ ΠŸΡ€ΠΈΠΌΠ΅Ρ€ модСлирования повСдСния β€’ ΠœΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ 2-ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΎΠΉ ΡˆΠΈΠ½Ρ‹ β€’ Двухпроводная подчинСнная модСль β€’ РСализация ΠΏΡ€ΠΎΡ‚ΠΎΠΊΠΎΠ»Π° β€’ ГСнСрация Π΄Π°Π½Π½Ρ‹Ρ… β€’ ВСдомая ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Π° β€’ БостояниС модСлирования

Π‘Π»ΡƒΡ‡Π°ΠΉΠ½ΠΎΠ΅ тСстированиС ΠΈ ΠΎΡ…Π²Π°Ρ‚


ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠ° — Π½Π°ΠΏΠΎΠΌΠΈΠ½Π°Π½ΠΈΠ΅ β€’ Π‘Π»ΡƒΡ‡Π°ΠΉΠ½Ρ‹ΠΉ стимул β€’ ΠžΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½ΠΈΠ΅ случайного стимула β€’ Блучайная ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ допустимых дСйствий β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹ΠΉ ΠΎΡ…Π²Π°Ρ‚ β€’ Π“Π΄Π΅ я? β€’ ΠŸΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ β€’ ΠŸΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Π° покрытия β€’ Π’Ρ‹Π·ΠΎΠ² ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€ покрытия β€’ Π—Π°Ρ‡Π΅ΠΌ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ ΠΏΡƒΡ‚ΠΈ?

Π”Ρ€ΡƒΠ³ΠΈΠ΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ Testbench


Π—Π°Π²Π΅Ρ€ΡˆΠ΅Π½ΠΈΠ΅ нашСй ΠΌΠ΅Ρ‚ΠΎΠ΄ΠΎΠ»ΠΎΠ³ΠΈΠΈ β€’ ΠœΠΎΠ½ΠΈΡ‚ΠΎΡ€ΠΈΠ½Π³ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… сигналов β€’ ΠœΠΎΠ½ΠΈΡ‚ΠΎΡ€ΠΈΠ½Π³ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… сигналов β€” VHDL-2002 β€’ Π’Π½Π΅ΡˆΠ½ΠΈΠ΅ ΠΈΠΌΠ΅Π½Π° β€’ ΠœΠΎΠ½ΠΈΡ‚ΠΎΡ€ΠΈΠ½Π³ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… сигналов β€” VHDL-2008 β€’ ΠŸΡ€ΠΈΠ½ΡƒΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ ΠΈ освобоТдСниС β€’ ΠœΠ΅Ρ…Π°Π½ΠΈΠ·ΠΌ возраТСния β€’ РСализация возраТСния β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΈ Ρ€Π°Π·Ρ€Π΅ΡˆΠ΅Π½ΠΈΡ β€’ РСализация ΠŸΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΡΠΊΠΈΠ΅ возраТСния β€’ ΠŸΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ остановки ΠΈ Π·Π°Π²Π΅Ρ€ΡˆΠ΅Π½ΠΈΡ β€’ ΠšΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΡ Π²ΠΎ врСмя выполнСния β€’ РСализация ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΈ Π²ΠΎ врСмя выполнСния

Π’Π²Π΅Π΄Π΅Π½ΠΈΠ΅ Π² OSVVM


Π§Ρ‚ΠΎ Ρ‚Π°ΠΊΠΎΠ΅ OSSVVM? β€’ Рандомизация β€’ Π£ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ Π½Π°Ρ‡Π°Π»ΡŒΠ½Ρ‹ΠΌ числом β€’ Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠ΅ ΠΏΠΎΠΊΡ€Ρ‹Ρ‚ΠΈΠ΅ β€’ Π’Ρ‹Π±ΠΎΡ€ΠΊΠ° β€’ Π£ΠΊΠ°Π·Π°Π½ΠΈΠ΅ Π±ΠΈΠ½ΠΎΠ² β€’ Π£ΠΊΠ°Π·Π°Π½ΠΈΠ΅ минимального количСства совпадСний для ΠΊΠ°ΠΆΠ΄ΠΎΠ³ΠΎ Π±ΠΈΠ½Π° β€’ Π£ΠΊΠ°Π·Π°Π½ΠΈΠ΅ пСрСкрСстных Π±ΠΈΠ½ΠΎΠ² β€’ Π£ΠΊΠ°Π·Π°Π½ΠΈΠ΅ ΠΈΠ³Π½ΠΎΡ€ΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… Π±ΠΈΠ½ΠΎΠ² β€’ ΠžΡ‚ΠΎΠ±Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ покрытия β€’ ΠΠ΅ΠΏΠΎΠ²Ρ‚ΠΎΡ€ΡΡŽΡ‰Π°ΡΡΡ рандомизация β€’ ΠžΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΈΠ΅ явных вСсов β€’ ВСс ΠΏΠΎ Π΄Π΅Ρ„ΠΈΡ†ΠΈΡ‚Ρƒ ΠΎΡ…Π²Π°Ρ‚Π° β€’ РСгистрация β€’ ΠŸΠ΅Ρ€Π΅Π½Π°ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ Π² Ρ„Π°ΠΉΠ» ΠΆΡƒΡ€Π½Π°Π»Π° β€’ ΠžΠΏΠΎΠ²Π΅Ρ‰Π΅Π½ΠΈΡ β€’ ΠžΡΡ‚Π°Π½ΠΎΠ²ΠΊΠ° счСта β€’ ΠžΡ‚ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ ΠΎΠΏΠΎΠ²Π΅Ρ‰Π΅Π½ΠΈΠΉ β€’ УсловныС оповСщСния β€’ Π˜Π΅Ρ€Π°Ρ€Ρ…ΠΈΡ‡Π΅ΡΠΊΠΈΠ΅ оповСщСния β€’ Π”Ρ€ΡƒΠ³ΠΈΠ΅ ΠΏΠ°ΠΊΠ΅Ρ‚Ρ‹

Π’Π²Π΅Π΄Π΅Π½ΠΈΠ΅ Π² UVVM


Π§Ρ‚ΠΎ Ρ‚Π°ΠΊΠΎΠ΅ Π£Π’Π’Πœ? β€’ Π‘ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ° слуТСбных ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ β€’ Π’Π΅Π΄Π΅Π½ΠΈΠ΅ ΠΆΡƒΡ€Π½Π°Π»Π° ΠΈ ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ подробностями β€’ Π’Ρ‹Π²ΠΎΠ΄ сообщСний ΠΆΡƒΡ€Π½Π°Π»Π° β€’ Π£ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ сообщСниями ΠΆΡƒΡ€Π½Π°Π»Π° β€’ Π˜Π΄Π΅Π½Ρ‚ΠΈΡ„ΠΈΠΊΠ°Ρ‚ΠΎΡ€Ρ‹ сообщСний β€’ ΠŸΠ΅Ρ€Π΅Π½Π°ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ сообщСний ΠΆΡƒΡ€Π½Π°Π»Π° β€’ ΠŸΡ€Π΅Π΄ΡƒΠΏΡ€Π΅ΠΆΠ΄Π΅Π½ΠΈΡ β€’ Π£ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ прСдупрСТдСниями β€’ ΠžΡ‚Ρ‡Π΅Ρ‚Ρ‹ ΠΎ прСдупрСТдСниях β€’ ΠŸΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ β€’ ОТидания β€’ ΠžΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° строк β€’ Рандомизация β€’ Π“Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ сигналов β€’ Бинхронизация β€’ ΠžΠ±Ρ‰ΠΈΠΉ ΠΏΠ°ΠΊΠ΅Ρ‚ BFM β€’ ΠŸΡ€Π΅Π΄ΡƒΠΏΡ€Π΅ΠΆΠ΄Π΅Π½ΠΈΡ β€’ Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€Π° UVVM VVC β€’ Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€Π° UVVM β€’ ВСстовая схСма UVVM β€’ ВСстовый стСнд UVVM β€’ ВСстовый сСквСнсор β€’ РаспрСдСлСниС ΠΊΠΎΠΌΠ°Π½Π΄ UVVM β€’ ΠŸΡ€ΠΈΠΌΠ΅Ρ€Ρ‹ ΠΌΠ΅Ρ‚ΠΎΠ΄ΠΎΠ² распрСдСлСния ΠΊΠΎΠΌΠ°Π½Π΄ β€’ БСтСвая модСль UVVM VHDL β€’ VIP β€’ Π‘Ρ€Π°Π²Π½Π΅Π½ΠΈΠ΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ

Π’Π²Π΅Π΄Π΅Π½ΠΈΠ΅ Π² VHDL

Π’Π²Π΅Π΄Π΅Π½ΠΈΠ΅ Π² VHDL
  • Π―Π·Ρ‹ΠΊ описания структурных, физичСских ΠΈ повСдСнчСских характСристик Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм.

  • Π’Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ VHDL ΠΏΡ€ΠΈΠ²ΠΎΠ΄ΠΈΡ‚ ΠΊ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΡŽ Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ систСмы.
    • ΠŸΠΎΠ·Π²ΠΎΠ»ΡΠ΅Ρ‚ Π½Π°ΠΌ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΈΡ‚ΡŒ Π΄ΠΈΠ·Π°ΠΉΠ½ ΠΏΠ΅Ρ€Π΅Π΄ ΠΈΠ·Π³ΠΎΡ‚ΠΎΠ²Π»Π΅Π½ΠΈΠ΅ΠΌ.
    • ΠžΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΈΠ΅ языка VHDL прСдоставляСт ряд Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°ΡŽΡ‰ΠΈΡ… ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСм.

  • VHDL ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅Ρ‚ ΠΊΠ°ΠΊ структурный ΠΈ повСдСнчСский описания систСмы Π½Π° Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… уровнях абстракции.

  • Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€Π° ΠΈ ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠ΅ ΡΠ²Π»ΡΡŽΡ‚ΡΡ Π²Π·Π°ΠΈΠΌΠΎΠ΄ΠΎΠΏΠΎΠ»Π½ΡΡŽΡ‰ΠΈΠΌΠΈ способами описания систСм.
    • ОписаниС ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠ΅ систСмы Π½ΠΈΡ‡Π΅Π³ΠΎ Π½Π΅ Π³ΠΎΠ²ΠΎΡ€ΠΈΡ‚ ΠΎ структура ΠΈΠ»ΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹, ΠΈΠ· ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… состоит систСма.
    • БущСствуСт ΠΌΠ½ΠΎΠ³ΠΎ способов ΠΏΠΎΡΡ‚Ρ€ΠΎΠΈΡ‚ΡŒ систСму, ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‰ΡƒΡŽ Ρ‚Π°ΠΊΠΎΠ΅ ΠΆΠ΅ ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠ΅.

  • Бсылка: «Руководство для Π½Π°Ρ‡ΠΈΠ½Π°ΡŽΡ‰ΠΈΡ… ΠΏΠΎ VHDLΒ», Π‘ΡƒΠ΄Ρ…Π°ΠΊΠ°Ρ€ Π―Π»Π°ΠΌΠ°Π½Ρ‡ΠΈΠ»ΠΈ, Prentice Hall .
  • VHDL позволяСт ΡƒΠΊΠ°Π·Π°Ρ‚ΡŒ:
  • ΠšΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ Ρ†Π΅ΠΏΠΈ.
  • Ρ‚ΠΈΠΏ=диск>
  • Π˜Ρ… взаимосвязь.
  • Ρ‚ΠΈΠΏ=диск>
  • ПовСдСниС ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² с Ρ‚ΠΎΡ‡ΠΊΠΈ зрСния ΠΈΡ… Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов.
  • Ρ‚ΠΈΠΏ=диск>

  • ΠšΠ°ΠΊΠΎΠ²Ρ‹ повСдСнчСскиС свойства схСмы полусумматора?
  • событиС Π½Π° , ΠΎΡ‚ 1 Π΄ΠΎ 0, измСняСт Π²Ρ‹Ρ…ΠΎΠ΄Ρ‹ послС 5 нс распространСниС Π·Π°Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Ρ‚ΡŒ.
  • И Π²ΠΎΡ€ΠΎΡ‚Π° (ΠΈ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π°) ΠΎΠ±Π»Π°Π΄Π°ΡŽΡ‚ ΠΈΠ½Π΅Ρ€Ρ†ΠΈΠ΅ΠΉ ΠΈΠ»ΠΈ СстСствСнным сопротивлСниСм измСнСниям.
  • Π’Ρ€Π΅Ρ‚ΡŒΠ΅ свойство этой схСмы β€” ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΠΈΠ·ΠΌ.
    • Оба сор ΠΈ ΠΈ Π²ΠΎΡ€ΠΎΡ‚Π° Π²Ρ‹Ρ‡ΠΈΡΠ»ΡΡŽΡ‚ Π½ΠΎΠ²Ρ‹Π΅ Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Π΅ значСния ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎ, ΠΊΠΎΠ³Π΄Π° Π²Ρ…ΠΎΠ΄ измСняСт состояниС.
  • Π­Ρ‚ΠΈ Π½ΠΎΠ²Ρ‹Π΅ события ΠΌΠΎΠ³ΡƒΡ‚ ΠΏΡ€ΠΎΠ΄ΠΎΠ»ΠΆΠ°Ρ‚ΡŒΡΡ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΠΈΠ½ΠΈΡ†ΠΈΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ вычислСниС Π΄Ρ€ΡƒΠ³ΠΈΡ… событий Π² Π΄Ρ€ΡƒΠ³ΠΈΡ… частях схСмы, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€. с1 ΠΈ с3 .

  • БистСма, управляСмая Π΄Π°Π½Π½Ρ‹ΠΌΠΈ : Бобытия для сигналов приводят ΠΊ вычислСниям, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠ³ΡƒΡ‚ Π³Π΅Π½Π΅Ρ€ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ события для Π΄Ρ€ΡƒΠ³ΠΈΡ… сигналов.
  • ΠœΡ‹ ΠΌΠΎΠΆΠ΅ΠΌ Ρ€Π°ΡΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°Ρ‚ΡŒ VHDL ΠΊΠ°ΠΊ язык программирования для описания Π³Π΅Π½Π΅Ρ€Π°Ρ†ΠΈΠΈ событий Π² Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… систСмах, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅ΠΌΡ‹Ρ… ΠΈΠΌΠΈΡ‚Π°Ρ‚ΠΎΡ€ дискрСтных событий .

  • А ΠΈΠΌΠΈΡ‚Π°Ρ‚ΠΎΡ€ дискрСтных событий выполняСт ΠΊΠΎΠ΄ VHDL, модСлируя Ρ‚Π΅Ρ‡Π΅Π½ΠΈΠ΅ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΈ Π²ΠΎΠ·Π½ΠΈΠΊΠ½ΠΎΠ²Π΅Π½ΠΈΠ΅ событий Π² Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Π΅ ΠΌΠΎΠΌΠ΅Π½Ρ‚Ρ‹ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ.

  • Он ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅Ρ‚ структуру Π΄Π°Π½Π½Ρ‹Ρ… списка событий, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΠΎΡ‚ΡΠ»Π΅ΠΆΠΈΠ²Π°Ρ‚ΡŒ порядок всСх Π±ΡƒΠ΄ΡƒΡ‰ΠΈΡ… событий Π² Ρ†Π΅ΠΏΠΈ.
  • ΠŸΠ΅Ρ€Π΅Π²Π΅Π΄ΠΈΡ‚Π΅ часы симуляции Π½Π° врСмя ΡΠ»Π΅Π΄ΡƒΡŽΡ‰Π΅Π³ΠΎ события, ΠΎΠ±Π½ΠΎΠ²ΠΈΡ‚Π΅ значСния ΠΏΡ€ΠΈΠ½ΠΈΠΌΠ°Π΅ΠΌΡ‹Ρ… сигналов.
  • ΠžΡ†Π΅Π½ΠΈΡ‚Π΅ всС ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹, Π·Π°Ρ‚Ρ€ΠΎΠ½ΡƒΡ‚Ρ‹Π΅ обновлСниями сигнала, ΠΈ Π·Π°ΠΏΠ»Π°Π½ΠΈΡ€ΡƒΠΉΡ‚Π΅ Π½ΠΎΠ²Ρ‹Π΅ события.
  • Π‘ΠΈΠ³Π½Π°Π»Ρ‹ : Подобно ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹ΠΌ Π² Ρ‚Π°ΠΊΠΈΡ… языках программирования, ΠΊΠ°ΠΊ C, сигналам ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ присвоСны значСния, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, 0, 1, Z.

  • Π’Π΅ΠΌ Π½Π΅ ΠΌΠ΅Π½Π΅Π΅, сигналы Ρ‚Π°ΠΊΠΆΠ΅ ΠΈΠΌΠ΅ΡŽΡ‚ связанныС Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ .
    • Π‘ΠΈΠ³Π½Π°Π» ΠΏΠΎΠ»ΡƒΡ‡Π°Π΅Ρ‚ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π² ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹ΠΉ ΠΌΠΎΠΌΠ΅Π½Ρ‚ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΈ сохраняСт это Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π΄ΠΎ Ρ‚Π΅Ρ… ΠΏΠΎΡ€, ΠΏΠΎΠΊΠ° Π½Π΅ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚ Π½ΠΎΠ²ΠΎΠ΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π² Π±ΡƒΠ΄ΡƒΡ‰Π΅ΠΌ ΠΌΠΎΠΌΠ΅Π½Ρ‚Π΅ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ.

  • ΠŸΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ, присваиваСмых сигналу Π²ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, Ρ€Π°Π²Π½Π° . сигнал сигнала.

  • ΠŸΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Π°Ρ всСгда ΠΈΠΌΠ΅Π΅Ρ‚ ΠΎΠ΄Π½ΠΎ Ρ‚Π΅ΠΊΡƒΡ‰Π΅Π΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅.
  • Π’ любой ΠΌΠΎΠΌΠ΅Π½Ρ‚ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ сигнал ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ связан с нСсколькими Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΏΠ°Ρ€Ρ‹.
  • ΠžΠ±ΡŠΠ΅ΠΊΡ‚ проСктирования: ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ систСмы, ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠ΅ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ Π΄ΠΎΠ»ΠΆΠ½ΠΎ Π±Ρ‹Ρ‚ΡŒ описано ΠΈ смодСлировано.

  • Π”Π²Π° ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° ΠΊ описанию:
    • Π˜Π½Ρ‚Π΅Ρ€Ρ„Π΅ΠΉΡ ΠΊ ΠΎΡ„ΠΎΡ€ΠΌΠ»Π΅Π½ΠΈΡŽ: ΡΡƒΡ‰Π½ΠΎΡΡ‚ΡŒ дСкларация.
    • Π’Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅Π΅ ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠ΅ конструкции: Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π° ΠΏΠΎΡΡ‚Ρ€ΠΎΠΈΡ‚ΡŒ.

  • ΠŸΡ€ΠΈΠΌΠ΅Ρ€ сущности для полусумматора:
  • ΠΏΠΎΠ»ΠΎΠ²ΠΈΠ½ΠΊΠ°_гадюка имя, Π΄Π°Π½Π½ΠΎΠ΅ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Ρƒ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°.

  • Π’Ρ…ΠΎΠ΄Π½Ρ‹Π΅ ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Π΅ сигналы; , Π± , сумма ΠΈ нСсти , ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π°ΡŽΡ‚ΡΡ ΠΊΠ°ΠΊ ΠΏΠΎΡ€Ρ‚Ρ‹ .
  • ΠšΠ°ΠΆΠ΄Ρ‹ΠΉ ΠΏΠΎΡ€Ρ‚ ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚ΠΈΠΏ . Π±ΠΈΡ‚ ΠΈ Π±ΠΈΡ‚_Π²Π΅ΠΊΡ‚ΠΎΡ€ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΏΡ€ΠΈΠ½ΠΈΠΌΠ°Ρ‚ΡŒ значСния 0 ΠΈ 1.
  • Π£ ΠΊΠ°ΠΆΠ΄ΠΎΠ³ΠΎ ΠΏΠΎΡ€Ρ‚Π° Π΅ΡΡ‚ΡŒ Ρ€Π΅ΠΆΠΈΠΌ; Π² , ΠΈΠ· ΠΈΠ»ΠΈ Π²Ρ…ΠΎΠ΄ (Π΄Π²ΡƒΠ½Π°ΠΏΡ€Π°Π²Π»Π΅Π½Π½Ρ‹Π΅ сигналы).

  • Π‘ΠΈΡ‚ΠΎΠ²Ρ‹Π΅ Π²Π΅ΠΊΡ‚ΠΎΡ€Ρ‹ Π·Π°Π΄Π°ΡŽΡ‚ΡΡ ΠΊΠ°ΠΊ:
  • А ΠΈ Π‘ ΠΈΠΌΠ΅ΡŽΡ‚ Π΄Π»ΠΈΠ½Ρƒ 32 Π±ΠΈΡ‚Π° со ΡΡ‚Π°Ρ€ΡˆΠΈΠΌ Π±ΠΈΡ‚ΠΎΠΌ 31.

  • Π‘ΠΎΠ»Π΅Π΅ ΠΎΠ±Ρ‰Π΅Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΈΠ΅ Π±ΠΈΡ‚ ΠΈ Π±ΠΈΡ‚_Π²Π΅ΠΊΡ‚ΠΎΡ€ std_logic ΠΈ std_logic_vector , ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΏΡ€ΠΈΠ½ΠΈΠΌΠ°Ρ‚ΡŒ большС, Ρ‡Π΅ΠΌ просто 0 ΠΈ 1.
  • АрхитСктура конструкция:

  • ΠŸΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ :
    • ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ присваивания сигнала ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚ Π½ΠΎΠ²ΠΎΠ΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ ΠΈ врСмя, Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ сигнал Π΄ΠΎΠ»ΠΆΠ΅Π½ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚ΡŒ это Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅.
    • ВСкстовый порядок ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ² ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎΠ³ΠΎ назначСния сигналов (CSA) НЕ влияСт Π½Π° Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Ρ‹.
  • ΠœΡ‹ Ρ‚Π°ΠΊΠΆΠ΅ ΠΌΠΎΠΆΠ΅ΠΌ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ (Π»ΠΎΠΊΠ°Π»ΡŒΠ½Ρ‹Π΅) сигналы, Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΠ΅ для Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρ‹, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, . с1 , с2 ΠΈ с3 Π² ΠΏΠΎΠ»Π½ΠΎΠΉ схСмС сумматора.
  • Π‘Π»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Ρ‚Π°ΠΊΠΆΠ΅ допустимы:

  • А список Π΄Ρ€Π°ΠΉΠ²Π΅Ρ€ΠΎΠ² ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ опрСдСляСт Ρ„ΠΎΡ€ΠΌΡƒ Π²ΠΎΠ»Π½Ρ‹.

  • Π­Ρ‚ΠΎΡ‚ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ Π³Π΅Π½Π΅Ρ€ΠΈΡ€ΡƒΠ΅Ρ‚ Π½Π°Π±ΠΎΡ€ ΠΈΠ· Ρ‚Ρ€Π°Π½Π·Π°ΠΊΡ†ΠΈΠΈ (ΠΏΠ°Ρ€Ρ‹ врСмя-Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅), ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π±ΡƒΠ΄ΡƒΡ‚ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒΡΡ Π² Ρ€Π°Π·Π½ΠΎΠ΅ врСмя Π² Π±ΡƒΠ΄ΡƒΡ‰Π΅ΠΌ.
  • ЗаявлСниС ΠΎ Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΈ условного сигнала :
  • ΠŸΠ΅Ρ€Π²ΠΎΠ΅ истинноС условиС опрСдСляСт Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅, ΠΏΠ΅Ρ€Π΅Π΄Π°Π²Π°Π΅ΠΌΠΎΠ΅ Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄.
  • ЗаявлСниС ΠΎ Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΈ Π²Ρ‹Π±Ρ€Π°Π½Π½ΠΎΠ³ΠΎ сигнала Π²Π΅Π΄Π΅Ρ‚ сСбя Π°Π½Π°Π»ΠΎΠ³ΠΈΡ‡Π½ΠΎ.
  • Π˜ΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ процСссы:
  • Для описания повСдСния ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², ΠΊΠΎΠ³Π΄Π° ΠΈΡ… нСльзя просто ΡΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΊΠ°ΠΊ элСмСнты Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΈ.
  • Ρ‚ΠΈΠΏ=диск>
  • Для модСлирования систСм Π½Π° высоких уровнях абстракции.
  • Ρ‚ΠΈΠΏ=диск>

  • ΠŸΡ€ΠΎΡ†Π΅ΡΡ Π²ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ ΠΎΠ±Ρ‹Ρ‡Π½Ρ‹Π΅ конструкции языка программирования.

  • ΠŸΡ€ΠΎΡ†Π΅ΡΡ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ исполняСмый Π±Π»ΠΎΠΊ ΠΊΠΎΠ΄Π°, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ содСрТит.
  • массивы ΠΈ ΠΎΡ‡Π΅Ρ€Π΅Π΄ΠΈ.
  • Ρ‚ΠΈΠΏ=диск>
  • ΠŸΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Π΅ присвоСния, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, Ρ… := Π³ , ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅, Π² ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ сигналов, Π²ΡΡ‚ΡƒΠΏΠ°ΡŽΡ‚ Π² силу Π½Π΅ΠΌΠ΅Π΄Π»Π΅Π½Π½ΠΎ.
  • Ρ‚ΠΈΠΏ=диск>
  • Ссли-Ρ‚ΠΎ-ΠΈΠ½Π°Ρ‡Π΅ ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Ρ†ΠΈΠΊΠ»Π° для управлСния ΠΏΠΎΡ‚ΠΎΠΊΠΎΠΌ.
  • Ρ‚ΠΈΠΏ=диск>
  • ΠŸΡ€ΠΈΡΠ²ΠΎΠ΅Π½ΠΈΠ΅ сигналов внСшним сигналам.
  • Ρ‚ΠΈΠΏ=диск>

  • ΠŸΡ€ΠΎΡ†Π΅ΡΡΡ‹ содСрТат списки Ρ‡ΡƒΠ²ΡΡ‚Π²ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΌ пСрСчислСны сигналы, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚, ΠΊΠΎΠ³Π΄Π° процСсс выполняСтся.
  • На самом Π΄Π΅Π»Π΅ CSA Ρ‚Π°ΠΊΠΆΠ΅ ΡΠ²Π»ΡΡŽΡ‚ΡΡ процСссами Π±Π΅Π· . процСсс , Π½Π°Ρ‡Π°Π»ΠΎ ΠΈ ΠΊΠΎΠ½Π΅Ρ† ΠΊΠ»ΡŽΡ‡Π΅Π²Ρ‹Π΅ слова.
  • ΠŸΠ΅Ρ‚Π»Π΅Π²Ρ‹Π΅ конструкции Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ для ΠΈ ΠΏΠΎΠΊΠ° ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€,
  • ΠŸΠ΅Ρ‚Π»Ρ индСкс ΠΎΠ±ΡŠΡΠ²Π»ΡΠ΅Ρ‚ΡΡ нСявно, являСтся Π»ΠΎΠΊΠ°Π»ΡŒΠ½Ρ‹ΠΌ для Ρ†ΠΈΠΊΠ»Π° ΠΈ Π½Π΅ ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ ΠΈΠ·ΠΌΠ΅Π½Π΅Π½ΠΎ.

  • Π’ качСствС Π°Π»ΡŒΡ‚Π΅Ρ€Π½Π°Ρ‚ΠΈΠ²Ρ‹;
  • ΠŸΡ€ΠΎΡ†Π΅ΡΡΡ‹ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡŽΡ‚ΡΡ ΠΎΠ΄ΠΈΠ½ Ρ€Π°Π· ΠΏΡ€ΠΈ ΠΈΠ½ΠΈΡ†ΠΈΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ.

  • ПослС этого ΠΎΠ½ΠΈ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡŽΡ‚ΡΡ Π½Π° основС Π΄Π°Π½Π½Ρ‹Ρ… Π»ΠΈΠ±ΠΎ:
  • событиС Π½Π° ΠΎΠ΄Π½ΠΎΠΌ ΠΈΠ»ΠΈ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… сигналах Π² список Ρ‡ΡƒΠ²ΡΡ‚Π²ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ .
  • Ρ‚ΠΈΠΏ=диск>
  • ΠΎΠΆΠΈΠ΄Π°Π½ΠΈΠ΅ возникновСния ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΠΎΠ³ΠΎ события с использованиСм ΠΏΠΎΠ΄ΠΎΠΆΠ΄ΠΈ заявлСниС.
  • Ρ‚ΠΈΠΏ=диск>

  • ΠΏΠΎΠ΄ΠΎΠΆΠ΄ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ опрСдСляСт условия, ΠΏΡ€ΠΈ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… процСсс ΠΌΠΎΠΆΠ΅Ρ‚ Π²ΠΎΠ·ΠΎΠ±Π½ΠΎΠ²ΠΈΡ‚ΡŒ Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ послС приостановки, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€,

    • ΠΆΠ΄Π°Ρ‚ΡŒ Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ; — ΠΆΠ΄Π°Ρ‚ΡŒ ΡƒΠΊΠ°Π·Π°Π½Π½Ρ‹ΠΉ ΠΈΠ½Ρ‚Π΅Ρ€Π²Π°Π» Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ.
    • ΠΆΠ΄ΠΈΡ‚Π΅ сигнал ; — ΠΆΠ΄Π°Ρ‚ΡŒ сигнала(ΠΎΠ²).
    • ΠΏΠΎΠ΄ΠΎΠΆΠ΄ΠΈΡ‚Π΅ Π΄ΠΎ состояниС ; — ΠΆΠ΄Π°Ρ‚ΡŒ Π΄ΠΎ состояниС становится ΠΏΡ€Π°Π²Π΄ΠΎΠΉ;

  • ΠŸΠ΅Ρ€Π²Π°Ρ ΠΈ Ρ‚Ρ€Π΅Ρ‚ΡŒΡ Ρ„ΠΎΡ€ΠΌΠ° ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ процСссам ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π½Π΅ ΠΎΠ±ΡΠ·Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‚ΡΡ Π΄Π°Π½Π½Ρ‹ΠΌΠΈ.
  • ΠΏΠΎΠ΄ΠΎΠΆΠ΄ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Ρ‚Π°ΠΊΠΆΠ΅ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ процСссам ΠΏΡ€ΠΈΠΎΡΡ‚Π°Π½Π°Π²Π»ΠΈΠ²Π°Ρ‚ΡŒΡΡ Π² Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… Ρ‚ΠΎΡ‡ΠΊΠ°Ρ…, Π° Π½Π΅ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π² Π½Π°Ρ‡Π°Π»Π΅.
  • НапримСр, Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ с ΠΏΠΎΠ»ΠΎΠΆΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ Ρ„Ρ€ΠΎΠ½Ρ‚ΠΎΠΌ:
  • Атрибут примСчания Код событиС Ρ‡Ρ‚ΠΎ Π²Π΅Ρ€Π½ΠΎ, ΠΊΠΎΠ³Π΄Π° событиС (Π½Π°Ρ€Π°ΡΡ‚Π°ΡŽΡ‰ΠΈΠΉ ΠΈΠ»ΠΈ ΡΠΏΠ°Π΄Π°ΡŽΡ‰ΠΈΠΉ Ρ„Ρ€ΠΎΠ½Ρ‚) происходит Π½Π° сигналС Код .

  • D-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ с асинхронными Π²Ρ…ΠΎΠ΄Π°ΠΌΠΈ сброса (R) ΠΈ установки (S), ΡƒΠΊΠ°Π·Π°Π½Π½Ρ‹ΠΉ Π² ссылкС.
  • ΠšΠΎΠ½Π΅Ρ‡Π½Ρ‹ΠΉ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ (машина Мили):

  • ΠšΠΎΠΌΠ±ΠΈΠ½Π°Ρ†ΠΈΠΎΠ½Π½Π°Ρ Ρ‡Π°ΡΡ‚ΡŒ Ρ€Π΅Π°Π»ΠΈΠ·ΠΎΠ²Π°Π½Π° Π² ΠΎΠ΄Π½ΠΎΠΌ процСссС, Ρ‡ΡƒΠ²ΡΡ‚Π²ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΌ ΠΊ событиям Π½Π° Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналах ΠΈΠ»ΠΈ ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… состояния.

  • ΠŸΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Π°Ρ Ρ‡Π°ΡΡ‚ΡŒ Ρ€Π΅Π°Π»ΠΈΠ·ΠΎΠ²Π°Π½Π° Π²ΠΎ Π²Ρ‚ΠΎΡ€ΠΎΠΌ процСссС, Ρ‡ΡƒΠ²ΡΡ‚Π²ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΌ ΠΊ ΠΏΠ΅Ρ€Π΅Π΄Π½Π΅ΠΌΡƒ Ρ„Ρ€ΠΎΠ½Ρ‚Ρƒ Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ сигнала.