8-900-374-94-44
[email protected]
Slide Image
Меню

Vhdl самоучитель – VHDL с нуля. / ПЛИС / Сообщество EasyElectronics.ru

Подборка статей и книг по программированию ПЛИС и языкам Verilog, VHDL, AHDL

Подборка статей и книг по программированию ПЛИС и языкам Verilog, VHDL, AHDL

Подробности
Категория: Разное
Создано 03 Май 2010
Автор: Николай Ковач
Просмотров: 61755

Один из наших читателей, JKQ, порекомендовал нам очень полезный ресурс: http://rutracker.org/forum/viewtopic.php?t=2135243 (и мы ему благодарны за эту ссылку). Здесь, в одном месте, собрана целая коллекция из статей и книг посвященных проектированию ПЛИС и разным языкам программирования аппаратуры.

Я сначала хотел почитать все это и сделать некий обзор по этому материалу, но потом понял, что наверное это будет не очень просто. Слишком много здесь материала и слишком он разнороден. Однозначно можно рекомендовать весь список к прочтению или ознакомлению.

Вот краткое оглавление:

Книги на русском языке:
VHDL для проектирования вычислительных устройств (Сергиенко).djvu

Основы языка VHDL (Бибило).djvu
ПЛИС фирмы Altera (Стешенко).djvu
ПЛИС фирмы Altera.Проектирование устройств обработки сигналов (Стешенко).djvu
Полезные схемы с применением микроконтроллеров и ПЛИС (Вальпа).djvu
Проектирование встраиваемых МП систем на основе ПЛИС фирмы Xilinx (Зотов).djvu
Проектирование на ПЛИС. Архитектура, средства и методы. Xilinx. MentorGraphics (Максфилд).djvu
Проектирование на ПЛИС. Курс молодого бойца (Максфилд).djvu
Проектирование систем на микросхемах программируемой логики (Грушвицкий).djvu
Проектирование цифровых систем на VHDL (Суворова).djvu
Проектирование Цифровых Схем на Языке Описания Аппаратуры Verilog (Стерхейм,Сингх,Триведи-1992).doc
Системы автоматизированного проектирования фирмы. Altera Max Plus II и Quartus II (Комолов).djvu
Системы на микроконтроллерах и БИС программируемой логики (Бродин).djvu
Цифровая электроника для начинающих (Хокинс).djvu
Цифровые системы. Теория и практика (Точчи).pdf
Язык описания цифровых устройств AlteraHDL (Антонов).djvu
Языки VHDL и Verilog в проектировании цифровой аппаратуры (Поляков).djvu
Языки VHDL и VERILOG в проектировании цифровой аппаратуры (Поляков).pdf

Книги на английском языке:
Verilog HDL — A Guide to Digital Design and Synthesis (Palnitkar)\
A VHDL Primer (Jayaram Bhasker).pdf
Advanced Digital Design with the Verilog HDL (Michael Ciletti).djvu
Advanced FPGA Design. Architecture, Implementation, and Optimization (Steve Kilts).pdf
Advanced Verilog Techniques Workshop (Clifford Cummings).pdf
Altium. VHDL Language Reference.pdf
Applications of Specification and Design Languages for SoCs (Vachoux).pdf
Cadence. HDL Modeling in Encounter.pdf
Circuit Design with VHDL (Volnei Pedroni).pdf
CSCI 320 Computer Architecture. Handbook on Verilog HDL.pdf
Design Through Verilog HDL. IEEE Press.pdf

Designing Digital Computer Systems with Verilog (David Lilja, Sachin Sapatnekar).pdf
Digital circuit analysis and design with Simulink modeling and introduction to cplds and fpgas (Steven Karris).pdf
Digital design with cpld applicaions and vhdl (Dueck).pdf
Digital Logic & Microprocessor Design With VHDL (Enoch Hwang).pdf
Digital signal processing with Field Programmable Gate Arrays (Uwer Meyer-Baese).pdf
Digital Systems Design Using VHDL (Charles Roth).pdf
Digital Systems Design with FPGAs and CPLDs (Ian Grout).pdf
Digital VLSI Design with Verilog (John Williams).pdf
Essential VHDL for ASICs (Roger Traylor).pdf
FPGA Compiler II, FPGA Express. VHDL Reference Manual.pdf
FPGA Implementations of Neural Networks (Omondi, Rajapakse).pdf
FPGA Prototyping by VHDL Examples — Xilinx Spartan-3 Version (Pong Chu).pdf
Fundamentals Of Digital Logic with VHDL Design (Stephen Brown, Zvonko Vranesic).pdf
HDL Chip Design. A Practical Guide for Designing, Synthesizing and Simulating ASICs and FPGAs Using VHDL or Verilog (Douglas Smith).pdf
IEEE 1364-1995 standard. Verilog hardware description language.pdf
IEEE 1364-2001 standard. Verilog hardware description language.pdf
Introduction to Verilog.pdf
Microprocessor Design. Principles and Practices with VHDL (Enoch Hwang).pdf
Newnes — Design Warriors Guide To Fpga.pdf
Practical FPGA Programming In C (David Pellerin, Scott Thibault).chm
Programmable Logic design. Quick Start Hand Book (Karen Parnell, Nick Mehta).pdf
Programmable logic design. Quick Start Handbook.pdf
Rapid Prototyping of Digital Systems. A tutorial Approach (James Hamblen, Michael Furman).pdf
RTL Hardware Design Using VHDL.Coding for Efficiency, Portability, and Scalability (Pong Chu).pdf
The Complete Verilog Book (Vivek Sagdeo).pdf
The Verilog Hardware Description Language (Thomas, Moorby).pdf
The Verilog Language.pdf
The VHDL Cookbook (Peter Ashenden).pdf
Verilog HDL. A Guide to Digital Design and Synthesis (Samir Palnitkar).pdf
Verilog Coding for Logic Syntesis (Weng Fook Lee).pdf
Verilog digital systems design (Navabi).pdf
Verilog Golden Reference Guide.pdf
Verilog HDL Quick Reference Guide.pdf
Verilog HDL Synthesis. A Practical Primet (Bhasker).pdf
Verilog Quickstart. Practical Guide to Simulation & Synthesis in Verilog (James Lee).pdf
Verilog tutorial (Deepak Kumar Tala).pdf
verilog.pdf
VHDL — Coding Styles and Methodologies (Ben Cohen).djvu
VHDL Interactive Tutorial. A Learning Tool for IEEE Std. 1076, VHDL.pdf
VHDL Quick Start (Peter Ashenden).pdf
VHDL. A Logic Synthesis Approach (David Naylor, Simon Jones).pdf
VHDL. Made easy (David Pellerin, Douglas Taylor).pdf
VHDL. Programming by Example. 4th Ed (Douglas Perry).pdf
VHDL-2008. Just the New Stuff (Peter Ashenden, Jim Lewis).pdf
VHDL-Handbook.pdf
vhdl-summary.pdf

Статьи:
A Rapid Prototype Design to Investigate the FPGA Based DTC Strategy Applied to the Speed Control of Induction Motor.pdf
An FPGA-Based Software Defined Radio Platform for the 2.4GHz ISM Band.pdf
DESIGN AND IMPLEMENTATION OF OFDM TRANSMITTER AND RECEIVER ON FPGA HARDWARE .pdf
FFT, Realization and Implementation in FPGA.pdf
fundamentals of digital logic with VHDL design solutions manual.pdf
Introduction to CPLD and FPGA Design.PDF
Introduction to VHDL.pdf
Sensorless speed control of Induction Motor using VHDL.pdf
Simulink, Matlab-to-VHDL Route for Full-Custom, FPGA Rapid Prototyping of DSP Algorithms.pdf
Verilog — accelerating digital design (Gerard Blair).pdf
Verilog — Инструмент Разработки Цифровых Электронных Схем.doc
VHDL & Verilog Compared & Contrasted.pdf
Моделирование цифровых и микропроцессорных систем. Язык VHDL (Дьяков).pdf

Стиль Программирования на Языке Verilog и Руководящие Указания по Программированию.doc

Апноты и примеры:
Appendix B Counting and Shifting Circuit Techniques.pdf
Case Study 1 — DC motor control.pdf
Case Study 2- Digital Filter Design.pdf
Chapter 1Introduction to Finite-State Machines and State Diagrams for the Design.pdf
Chapter 2 Using State Diagrams to Control External Hardware Subsystems.pdf
Chapter 3 Synthesizing Hardware from a State Diagram.pdf
Chapter 4 Synchronous Finite-State Machine Designs.pdf
Chapter 5 The One Hot Technique in Finite-State Machine Design.pdf
Chapter 8 Describing Combinational and Sequential Logic using Verilog HDL.pdf
Chapter 9 Asynchronous Finite-State Machines.pdf
clock synchronization.pdf
combinational design — more examples.pdf
computer fundamentals.pdf
counters and shift registers.pdf
design examples- floating pt adder,sign magnitude adder, hexa to 7 segment led.pdf

design examples-shift register, binary counter, testbench.pdf
design of counters and shift registers.pdf
design of SAYEH processor — verilog.pdf
designing library components.pdf
embedded basics,IOs,accelerators.pdf
example_AHDL.exe
external SRAM.pdf
fibonacci , period counter,division ckt, accurate LF ckt.pdf
flip-flops and related devies.pdf
FSM — principles and practices.pdf
FSM design examples.pdf
interfacing mouse with VHDL.pdf
interfacing ps2 keyboard using VHDL.pdf
introduction to digital filters.pdf
keyboard interface — verilog.pdf
LED time multiplexing ckt, FIFO buffer, stop watch.pdf
logic families and interfacing.pdf
memories.pdf
memory devices — good.pdf
more sophisticated examples.pdf
numeric basics.pdf
overview of fpga and EDA software.pdf
picoblaze microcontroller — good one.pdf
rising edge detector, debounce ckt, testing ckt for that.pdf
ROM PLA and VHDL examples.pdf
RTL methodology practice.pdf
RTL methodology principles.pdf
sdramc.html
sequential basics.pdf
sequential design practice.pdf
sequential design principles.pdf
sequential multiplier.pdf
simple VHDL examples.PDF
smith_franzon.zip
spartan 3 specific memory and suggested experiments.pdf
state machine design.pdf
synthesis of VHDL code.pdf
timing considerations.pdf
UART TX and RX sub systems.pdf
verilog for simulation and synthesis.pdf
verilog_code.rar
verilog_lib.zip
VGA adapter.pdf
VGA controller — graphical based.pdf
VGA controller — text based.pdf
VHDL Tutorial Solutions.pdf
writing test benches , test vectors, using text IO.pdf

Добавить комментарий

marsohod.org

Руководства и описания языка VHDL

 

РУКОВОДСТВА И ОПИСАНИЯ ЯЗЫКА VHDL

 
Данная страничка создана в помощь людям, желающим самостоятельно освоить язык описания аппаратуры VHDL. Здесь вы найдете собранные мною в интернете учебные и справочные материалы на русском и английском языках. Позже, возможно, появится файловый архив полезных программ и утилит. В разделе «полезные ссылки» приведены интернет-адреса наиболее известных производителей программного обеспечения, использующего язык VHDL, а также сайты производителей ПЛИС (Программируемых Логических Интегральных Схем). Если у вас есть интересные книги, руководства или лекции по VHDL, то пишите мне. Желаю успехов в освоении этого интересного языка !!!
 

I. Книги в формате PDF.

 

К сожалению хорошей литературы по VHDL на русском языке очень мало, точнее ее почти нет. Поэтому большинство книг приведенных ниже написаны на английском языке. Из отечественных изданий можно порекомендовать книгу:

«Проектирование цифровых систем на VHDL» Е.А.Суворова Ю.Е.Шейнин, которая свободно продается во многих книжных магазинах Москвы, в том числе и на Савеловском рынке, по цене ~200р. Есть еще несколько неплохих изданий о которых я расскажу позже.

 

1. VHDL Reference Manual. Достаточно толковый мануал от компании Synario Design Autamotion. Можно уверенно рекомендовать для первого знакомства. (английский)

2. The VHDL CookBook. Автор Peter J. Ashenden. Руководство содержит краткое, но очень хорошее описание VHDL, а также полностью рассмотрено создание простого 32-разрядного микропроцессора. (английский)

3. 1076 Ieee Standard Vhdl Language Reference Manual. Официальное описание языка VHDL от IEEE. В нем есть все, но читать его достаточно тяжело. Не рекомендую новичкам. (английский)

4. VHDL: Programming by Example. Автор Douglas L. Perry. Книга написана простым языком и содержит много примеров. На многих сайтах стоит в графе — рекомендовано (английский)

5. Examples of VHDL Descriptions. Файл содержит примеры VHDL описания типичных элементов цифровой техники, таких как ОЗУ, регистры, счетчики и многое другое. (английский)

6. VHDL Tutorial. Небольшое руководство по VHDL для студентов 3 курса одного Канадского тех. университета. Кратко, но интересно. (английский)

7. VHDL Tutorial. Автор Peter J. Ashenden. Еще одно хорошее описание от уже знакомого автора. (английский)
8. Mentor Graphics Introduction to VHDL. И снова Mentor, но теперь короче в два с лишним раза. Рекомендую тем, кто впервые знакомиться с языком, т.к. в нем очень хорошо написано что такое VHDL и зачем он нужен. (английский)
9. Mentor Graphics VHDL Reference Manual. Название говорит само за себя — огомный мануал от Mentor Graphics. Хорошая вещь, кто честно прочтет все — напишите. (английский)
10. VeriBest FPGA Synthesis VHDL Reference Manual. Руководство от компании Synopsys по приминению VHDL в проектировании FPGA. (английский)

11. Применение VHDL при проектировании СБИС. Автор В.Г. Ивченко. Небольшое методическое пособие по VHDL Таганрогского ГРУ. (русский)

   
 

II. Документация в формате HTML

 
Данный раздел содержит описания и документацию в формате HTML, скачанную мною с различных web-сайтов. С целью экономии вашего времени и трафика все было сжато архиватором WinRAR.
 

1. VHDL MINI-REFERENCE. Краткое руководство по VHDL. В этом документе без лишних слов рассмотрены основные средства и конструкции языка. (английский)

2. Справочник. Как понятно из названия документ содержит описания типов переменных, сигналов, атрибутов, операторов и т.д. (русский)

3. Руководство компании EVITA. Составлено сотрудниками этой компании. Весьма интересное руководство с симпатичными картинками. (русский)

4. Справочник по синтаксису VHDL’87. Описание синтаксиса языка стандарта 87 года. (английский)

5. Справочник по синтаксису VHDL’93. Описание синтаксиса языка стандарта 93 года. (английский)

6. VHDL Tutorial. Автор Jan Van der Spiegel. Большое руководство с примерами. (английский)

7. www.kvantn.com.ua. Автор Анатолий Сергиенко. Копия одноименного интернет-сайта. Очень полезный ресурс, который представляет собой достаточно объемную книжку. Рекомендую. (русский)

8. Manual. Мануал от компании Green Mountain Computing Systems. (английский)

   
   

III. Примеры VHDL описания различных устройств и интерфейсов

 
От теории к практике. Вот девиз данного раздела. Научиться программировать лучше всего на конкретных примерах, которые вы найдете в этом разделе. Если у вас есть интересные и полезные вещи, написанные на VHDL, я буду очень признателен если вы мне об этом напишите. С целью экономии вашего времени и трафика все было сжато архиватором WinRAR.
 

В ПРОЦЕССЕ РАЗРАБОТКИ

 
   

IV. Программы

 
 
В будущем я планирую поместить в этом разделе полезные программы и документацию к ним. Но пока, в виду нехватки времени, раздел заморожен. Есть идеи ? Пишите !
   

V. Ссылки

 
 

Итак, вы решили заняться разработкой и проектированием устройств цифровой техники или СБИС с использованием языка VHDL. Естественно встает вопрос — какое программное обеспечение вам выбрать и ПЛИС какого производителя использовать в ваших проектах? Ответ на этот вопрос каждый дает себе сам, в зависимости от конкретных потребностей и целей. Я могу только предоставить список сайтов компаний, которые занимаются соответственно софтом и железом, чтобы вы смогли сами сделать выбор в пользу того или иного производителя.

 
1. Mentor Graphics это один из лидеров мирового рынка программного обеспечения в области электроники. В его активах имеется полный набор ПО для создания, моделирования, отладки и реализации любых устройств. В нашем случае интерес представляет FPGA Advantage.
2. Cadence основной конкурент предыдущей конторы. Известен по пакету OrCad 10, который кстати также поддерживает описания компонентов с использованием VHDL.
3. Synopsys очень известный разработчик ПО для полупроводниковой промышленности. Сотрудничает со всеми крупнейшими производителями ИС. Имеет в арсенале массу средств для проектирования на VHDL.
4. Aldec специализируется на разработке ПО для проектирования FPGA и ASIC , используя VHDL, Verilog и т.д.
5. ModelSim пожалуй лучший на данный момент симулятор. Входит в комплект поставки многих САПР.
6. Xilinx крупнейший в мире производитель программируемой логики. На сайте можно скачать бесплатную версию САПР WebPack ISE и вышеупомянутый ModelSim, а также триал-версии некоторых других любопытных программных продуктов этого гиганта. Этот набор может стать хорошим стартом для изучения языка на практике.
7. Altera к этой компании относиться все тоже, что и к предыдущей. Также есть бесплатный САПР и ModelSim. Кто из них круче вопрос открытый 🙂

8. OpenCores этот веб-сайт содержит большое колличество VHDL и Verilog исходников различных устройств, интерфейсов, контроллеров и много другог. Все совершенно бесплатно.

 
 
P.S.: Дизайн сайта временный. Будут силы и время — постараюсь сделать симпатичнее, но пока главная задача — содержание. Сайт работает с 01.05.2005.
 

vhdl-manual.narod.ru

Программирование на зыке VHDL: Конспект лекций

Конспект лекций по САПР

Часть 3



Введение

Задачи курса

—  Изучение базовых элементов языка VHDL (the basic constructs)

—  Изучение структуры моделей VHDL (modeling structure)

—  Изучение средств построения проектов (design environments):

·  Моделирование схем (Simulation)

·  Синтез схем (Synthesis)

Содержание курса

—  Введение

·  Краткий обзор языковых средств

—  Элементы проекта (Design Units)

·  Entity — интерфейс

·  Architecture

·  Configurations

·  Packages (Libraries) – пакеты и библиотеки

—  Основы моделирования архитектуры (Architecture Modeling)

·  Signals — сигналы

·  Processes — процессы

§  Последовательностные операторы (Sequential Statements)

—  Изучение методов синтеза логических схем

·  Process Statement – оператор Process

·  Логические элементы (Inferring Logic)

—  Использование моделей (Model Application)

·  Кодирование машины состояний (State Machine Coding)

—  Иерархическое проектирование (Hierarchical Designing)

·  Обзор

·  Структурное моделирование (Structural Modeling)

·  Применение макрофункций (Application of LPM’s)

·   

1. Основы VHDL

1.1. Происхождение термина VHDL:

vhsic (Very High Speed Integrated Circuit)

сверхскоростная интегральная схема

Hardware

аппаратура

Description

описание

Language

язык

1.2. Что такое VHDL?

—  промышленный стандарт IEEE для описания аппаратных средств

—  Язык высокого уровня для моделирования и синтеза цифровых схем

1.3. История VHDL

—  1980 – министерство обороны США  — U.S. Department of Defense (DOD) – открыл финансирование проекта по разработке стандартного языка описания аппаратных средств, выполняемого в рамках программы разработки сверхвысокоскоростных интегральных схем — Very High Speed Integrated Circuit (VHSIC) program.

—  1987 – институт инженеров электротехники и электроники — the Institute of Electrical and Electronics Engineers (IEEE) – утвердил данный язык в качестве стандарта США IEEE 1076.

—  1993 — Язык VHDL был пересмотрен и утвержден в качестве обновленного стандарта IEEE 1076’93.

1.4. Терминология

—  HDL – язык описания аппаратных средств является языком, предназначенным для моделирования фрагментов схем

—  Behavior Modeling – моделирование поведения. Компонент описывается в качестве отклика его выходов на входные воздействия

—  Structural Modeling – моделирование структуры. Компонент описывается как соединения между более простыми компонентами или примитивами (компонентами или примитивами низкого уровня)

1.5. Behavior Modeling — моделирование поведения

§  Описывается только функционирование схемы, сведения о структуре не приводятся

§  Отсутствует привязка к специфическим аппаратным средствам

§  Используется как для моделирования, так и для синтеза схем

1.6. Structural Modeling — моделирование структуры

§  Описание функционирования и структуры схемы

§  Ориентация на специфические схемотехнические средства

§  Используется как для моделирования, так и для синтеза схем

1.7. Еще о терминологии

—  Register Transfer Level (RTL) – тип моделирования поведения для целей синтеза, при котором осуществляется привязка модели к структуре регистров программируемого кристалла при синтезе схемы. При этом:

·  Учитывается предполагаемая элементная база или используются элементарные компоненты

·  Проект должен уложиться в рамки ограничений, накладываемых возможностями программируемого логического кристалла.

—  Synthesis – синтез, трансляция HDL в схему и оптимизация полученной схемы

—  RTL Synthesis – процесс трансляции RTL-модели схемы в структуру, оптимизированную для внедрения в выбранный тип программируемого кристалла

1.8. Особенности синтеза RTL

1.9. Сравнение методики синтеза схем VHDL с другими стандартами HDL

—  VHDL

·  «Скажите мне, как должна себя вести Ваша схема, и я дам Вам аппаратную реализацию, которая будет выполнять эту работу.»

—  Verilog

·  Аналогично VHDL

—  ABEL, PALASM, AHDL

·  «Скажите мне, какую схему Вы хотите, и я дам ее Вам.»

—  VHDL

·  «Дайте мне схему, выходы которой будут переключаться только при переключении сигнала из 0 в 1 на определенном выводе. Когда произойдет это переключение, сделайте выходной сигналы равным входному до следующего переключения.»

·  Результат: VHDL синтезирует синхронный D-триггер с тактированием передним фронтом синхросигнала.

—  ABEL, PALASM, AHDL

·  «Дай мне D-триггер.»

·  Результат: ABEL, PALASM, AHDL синтезирует D-триггер. Реализация сигнала тактирования зависит от используемых программных средств, которые выполняют синтез.

vunivere.ru

vhdl — Лучший способ узнать VHDL?

Как говорили другие, вам нужно узнать о цифровом дизайне, прежде чем изучать VHDL. Но не позволяйте этому отпугивать вас, это не так сложно. Я считаю, что вы можете очень быстро начать работу с VHDL, если вы получите книги, совет и программное обеспечение, которые я рекомендую ниже.

Узнайте о Digital Design

«Цифровой дизайн» Фрэнка Вахида — отличная книга для людей, которые хотят узнать о цифровом дизайне с нуля. Мы использовали эту книгу во вводном курсе по цифровому дизайну, где мы также познакомились с VHDL. Вам не нужно глубоко вникать в эту книгу, прежде чем вы сможете немного поиграть с VHDL (эта книга не учит VHDL).
Я помню, что книга была очень «читающей».
http://www.amazon.com/dp/0470044373/?tag=stackoverfl08-20

Купите плату FPGA!

Затем вам действительно нужно купить или получить доступ к плате FPGA, а также некоторый учебный материал, который использует и объясняет эту конкретную плату FPGA, которую вы выбираете.
Несмотря на то, что у меня был доступ к плате FPGA в моем университете, я решил купить доску, чтобы я мог играть с VHDL дома.
В университете мы использовали плату varttex-pro Spartan-3E 100 МГц (не помню точное имя). И для дома я купил плату Nexys2, которая также входит в семейство spartan-3E, и является отличной доской для начинающих FPGA ИМО.
http://www.digilentinc.com/Products/Detail.cfm?Prod=NEXYS2

Узнать VHDL

Для VHDL мы использовали книгу «Профилактика FPGA примерами VHDL» Понга П. Чу, которая является отличной книгой для быстрого получения опыта работы с VHDL, без необходимости читать слишком много скучных страниц.
Книга также познакомит вас с программным обеспечением «Xillinx ISE».
http://www.amazon.com/FPGA-Prototyping-VHDL-Examples-Spartan-3/dp/0470185317

Программное обеспечение

Для программного обеспечения мы использовали Xillinx ISE, совместимый с Linux и Windows (я использовал его в основном в Linux). В университете мы использовали старую версию Xillinx ISE, потому что новая версия не была совместима с доской virtex pro. Это был самый худший инструмент, который я когда-либо использовал, он был полон ошибок, и это сводило всех с ума! BUT дома и на моем ноутбуке я использовал самую новую версию Xillinx ISE, которая была блестящей частью программного обеспечения и не вызывала раздражающих ошибок. Единственное, что я не использовал для Xillinx ISE, — это передать синтезированные файлы VHDL (.bit) на мою плату, поскольку я просто использовал «программное обеспечение Digilent Adept» (небольшая программа, сделанная Digilent, для передачи файлов и тестирование платы). Но также должно быть возможно настроить Xillinx для передачи синтезированного VHDL, если вы не ленитесь, как я.

Поэтому, если вы хотите использовать Xillinx ISE, обязательно купите плату, совместимую с более новой версией Xillinx ISE, чтобы избежать слишком большого количества головных болей. Например, плата Nexys2.
Мы также использовали отличный инструмент для отладки, считая, что это ModelSim. Это также должно быть включено в загрузку веб-сайта Xillinx ISE, если я не ошибаюсь.
Ссылка на Xillinx ISE:
http://www.xilinx.com/ise/logic_design_prod/webpack_faq.htm#2b

qaru.site

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *